Vajad kellegagi rääkida?
Küsi julgelt abi LasteAbi
Logi sisse
✍🏽 Avalikusta oma sahtlis olevad luuletused! Luuletus.ee Sulge

"-signaal" - 896 õppematerjali

thumbnail
10
docx

Anduri signaalid

TALLINNA TÖÖSTUSHARIDUSKESKUS ANDURITE SIGNAALID Tallinn 2018 Sisukord Sissejuhatus........................................................................................................... 3 Tööstusautomaatika areng on seotud erinevate tehnoloogiliste protsesside ja masinate automaatjuhtimissüsteemide arenemisega. Andureid kasutatakse juhitavatelt objektidelt seisundiinfo saamiseks. Seega nad on juhtsüsteemide elemendid, mis muundavad juhitavaid suurusi (temperatuur, rõhk, niiskus, vooluhulk jne) mugavalt mõõdetavaks, talletatavaks ja töödeldavaks signaaliks.Andmetöötlustehnoloogia ning info- ja arvutitehnika kiire areng määravad andurite intensiivse arendamise............................................................3 Kaasaegsed mõõte- ja juhtimissüsteemid põhinevad arvutitehnikal. Kuna nende süsteemide võimalused kasvavad, siis infot esmaselt vastuvõtvate andurite roll tõuseb oluliselt. Andurid muutuvad olu...

Mehhatroonika → Mikrokontrollerid ja robootika
11 allalaadimist
thumbnail
1
odt

Televisioon

Televisioon Televisioon edastab uudiseid,spordisündmusi,draamasid,vaatamänge,joonis-ja nukufilme ning reklaame. Mõni programm aitab õppida,aga mõni on lihtsalt meelelahutuseks. Arenenud maailmas on peaaegu igas kodus vähemasti üks televiisor. Ka vaesemates riikides kasvab kiiresti televiisoriga kodude arv. Pilt signaaliks Nii nagu harilik kaamera,kogub ka televisioonikaamera liikuvalt stseenilt valgust.Kaameras on valgustundlik seade,mis muundub valgusmustri elektrisignaalideks. Helid lisatakse signaalile hiljem. Signaal uuesti pildiks Sinu televiisor lahutab signaali punaseks,roheliseks,siniseks signaaliks ja helisignaaliks. Nendest signaalidest teeb televiisor uuesti liikuva pildi ja lisab sellele heli. Signaal tuleb sulle koju Televisioonisignaal saadetakse sinu televiisorisse raadiosignaalina tehiskaaslaste (satelliitide) või maa-aluste kaablite kaudu. Sinu televiisor saab signaali...

Kultuur-Kunst → Kultuurilugu
25 allalaadimist
thumbnail
2
docx

Parkimisabi

LISA- JA MUGAVUSSEADMED TÖÖ NR. 7 PARKIMISABI Transporditeaduskond Üliõpilane: Demos Pulk Andres Vaabla Rain Rosenblatt Juhendaja: M. Jets Parkimisabi otstarve Eesmärgiks on juhil aidata tagurdades aru saada, kui kaugel on objekt autost. On olemas nii eesmised kui ka tagumised parkimisabid. Töötab see siis nii, et mida lähemale hakkab ojekt andurile jõudma seda tihedamaks helisignaal läheb. Kui objekt on kaugemal, siis heli sagedus on katkendlik ning lähemale jõudes sagedus muutub tihedamaks, kuni sinnamaani, et kui objekt on lähemal kui 30 cm siis jääb kostma pidev helisignaal. Abiks on ta suuremate autode ja juhi algsete oskuste korral. Parkimisabi tööpõhimõte Pa...

Auto → Auto õpetus
32 allalaadimist
thumbnail
122
docx

Side

1. Shannon–Weaveri mudel, ISO-OSI mudel, TCP/IP protokollistik.  Shannon-Weaveri mudel: Allikaks võib olla kas analoogallikas (sarnane väljastavale signaalile – raadio) või digitaalallikas (numbriline). AD-muundur on ainult analoogallika puhul. Signaal on mistahes ajas muutuv füüsikaline suurus, müra on juhusliku iseloomuga signaal. Allika kodeerimine võtab infost ära ülearuse (surub info ajas väikseks kokku), muudab info haaratavaks. Kui pärast seda läheb veel infot kaduma, on kasulik info jäädavalt läinud. Kanali kodeerimisel pannakse juurde lisainfot, et vajalikku infot kaduma ei läheks. Modulatsiooniga pannakse abstraktne info kujule, mida on võimalik edastada. Side kanaliks võib olla näiteks kaabel, valguskaabel. Samuti võib side liikuda läbi õhu, elektromagnet-kiirgusega jne. Demodulaator ütleb, mis ta vastu võttis. Kui kindel pole, siis ennustab. Füüsiline signaal muudetakse tagasi abstraktseks. Kanali dekooder ...

Informaatika → Side
74 allalaadimist
thumbnail
11
ppt

Närvirakud

N n är är v vi i d d, Neuron Närvirakukeha ja tuum Närvirakud on loomade ühed pikimad dendriit rakud. Närvirakud ei jagune. Närvirakukehad Neuriit asuvad pea- või seljaajus ja müeliinkest moodustavad aju hallaine. Jätked valgeaine ja presünaps närvid Dendriidid toovad erutusi, neuriidid juhivad edasi nt lihastesse. Närvirakke mööda kanduvad edasi elektrilised signaalid ­ närviimpulsid (kuni 100 m/sek). Sünaps Neuronite vaheline ühendus, mis võimaldab närviimpulsi üleminekut ühelt neuronilt teisele. Mõnel neuronil võib olla üle 10000 sünapsi st, et samapalju on vastuvõtvaid sünaps rakke tema ümber. Igas sünapsis saab impul...

Bioloogia → Bioloogia
2 allalaadimist
thumbnail
15
odt

Inseneriinformaatika ül. 3

EESTI MAAÜLIKOOL Tehnikainstituut Üliõpilane WIFI SIGNAALI MÕJUTAVAID TEGUREID Kursuseprojekt õppeaines ,,Inseneriinformaatika" TE.0556 Tehnotroonika eriala Üliõpilane: ,,....." ............... 2013. a ............................... Üliõpilane Juhendaja ,,....." ............... 2013. a ............................... dots. ******* Tartu 2013 ABSTRACT Õpilane, Ü. WiFi signal strength of router Thomson TG784 and its impact on connetion. Course work ­ Tartu: EMÜ, 2012. 17 pages, 8 figures, 3 tables, format A...

Informaatika → Inseneriinformaatika
12 allalaadimist
thumbnail
6
doc

Digisignaalide töötlemine - kodutöö

Signaal S(n) = [7.8 7.4 5.1 2.0 3.3 6.3 2.8 7.3] Joonis 1 ­ Signaal S(n) 1. Signaali analüüs ja kvanteerimine Analüüsida signaali ning kvanteerida signaal S(n) kasutades balansseeritud võrdlust tingimusel, et järkude arv F = 4 bitti fikseeritud komaga formaadis. Kvanteerimiskvandi väärtus tuleb valida lähtuvalt signaalist ning nõutud järkude arvust. F = 4 bitti Qnmax = 2F-1 = 24-1 = 15 Nivoode arv: 2F = 16 Q = Sq(n)max / 2F = 7.8 / 16 = 8 / 16 = 0.5 (Qnq-q/2) < Sd(n) (Qnq+q/2) Sd(n) Qnq+q/2 Qn (Sd(n)-q/2) / q Sq(n) = Qn*q Sd(0) = 7.8 Q0 15.1 -> 15 Sq(0) = 7.5 Sd(1) = 7.4 Q1 14.3 -> 14 Sq(1) = 7 Sd(2) = 5.1 Q2 9.7 -> 10 Sq(2) = 5 Sd(3) = 2.0 Q3 3.5 -> 4 Sq(3) = 2 Sd(4) = 3.3 Q4 6.1 -> 6 Sq(4) = 3 Sd(5) = 6.3 ...

Informaatika → Digisignaalide töötlemine
55 allalaadimist
thumbnail
14
docx

Vahiteenistus

Vahiteenistus Vahimadrus on laevas tähtis isik. Tema sõna peab kuulama sadamas isegi sadamakapten, kes soovib laevale tulla, rääkimata vähemtähtsatest isikutest. Vahimadruse töösse suhtumisest sõltub laeva ohutus sadamas ja merel. Vahiteenistus laevas peab olema organiseeritud vastavalt „Laeva vahiteenistuse korra“ sätele. Vahiteenistuse korraldus merel, sadamas ja ankrus olles (laeva ohutuse ja turvalisuse tagamine). Laeval seistakse vahte sillas, masinaruumis. Sillas seisab vahti vahitüürimees ja vahimadrus. Masinaruumis vahimehaanik. Sillavaht tagab terve laeva ohutust, turvalisust ja meres navigatsiooni ohutuse vastavalt colregile. Vahimehaanik kontrollib diiselgeneraatori (mis toidab terve laeva vooluga), peamasina ja kõikide teiste süsteemide töövõimet. Vahimadruse kohustused roolis Vahimadrus peab tulema silda 15 -20 minutit enne rooli minemist. Kui vahimadrus on roolis, peab ta kuulama kaptenit või tüürimeest. Kui vah...

Merendus → Merendus
16 allalaadimist
thumbnail
52
docx

Madruse eksami piletid 2016

PILET 1 • Terastrosside ehitus, hooldamine, otstarve. Nende head ja halvad omadused. Terastrosside ehitus- Peenikesed tsingitud terastraadid (0,4–3 mm, 12-24 traati) keeratakse kokku südamikuga kardeeliks. Kuus kardeeli keeratakse parempoolse keeruga (z-keeruga) kokku trossiks, millel on taimkiust südamik. Südamik on immutatud õliga. Õline südamik ei võta vett sisse ja õlitab trossi seest poolt. Hooldust eriti ei nõua, sest nad tuuakse laevale puust poolidel ja on kaetud paksu määrdega, mis kindlustab pikaajalise poolil hoidmise (poolil lipik üldiste andmetega ja kaasas tunnistus täpsemate andmetega). Liigitatakse: painduvateks, poolpainduvateks ja jäikadeks.Peenest traadist tehakse ka terasliine- neid kasutatakse paatide kinnitamiseks, antennide tõstmiseks, jahtlaevadel jooksvas taglases jne. Jäigad trossid sobivad seisvaks taglaseks. Painduvaid kasutatakse lossipoo...

Merendus → Madruse koolitus
124 allalaadimist
thumbnail
2
doc

ELEKTROMAGNETLAINED töö

ELEKTROMAGNETLAINED 11 klass KOKKU 56 p. 1. Mida tähendab lause : " Elektromagnetlaine on ristlaine " ( 3 p.) 2. Millised siin loetletud lained ei ole elektromagnetlained ? Valgus, röntgenkiirgus, ultraviolettkiirgus, heli, alfakiirgus, gammakiirgus, beetakiirgus, raadiolained, soojus , mikrolained . ( 3 p.) 3. Pooled alltoodud väiteist kehtivad avatud, pooled suletud võnkeringi puhul. Paiguta laused õigesti.(4 p.) - elektriväli ulatub kondensaatorist kaugele - elektriväli on koondunud kondensaatori katete vahele - magnetväli on koondunud pooli sisse - magnetväli ulatub poolist kaugele - võnkeringist kaugel elektri- ja magnetväljad kompenseeruvad . - võnkeringist kaugel elektri- ja magnetväljad ei kompenseeri üksteist - kiirgab elektromagnetlaineid tugevalt - kiirgab elektromagnetlaineid nõrgalt 4. Kuidas sõltub elektromagnetkiirguse intensiivsus võnkesagedusest ? ( 3p.) 5. T...

Füüsika → Füüsika
46 allalaadimist
thumbnail
5
pdf

Alalisvoolumootor

MHX0065 Mehhatroonikasüsteemide komponendid Praktikum Alalisvoolu mootor aruanne Kuupäev: 6.12.12 Meeskonnaliikmed: 1. Ove Hillep 2. Joosep Andrespuk 3. Ragnar Jaanov Aruande täitis ja esitas: Ove Hillep Labori eeltöö ULN2003 on kõrgpingeline ja kõrge vooluga darlingtontransistor, mis koosneb seitsmest emitteriga darling- toni paarist. Transistori nimivoolu tugevus on 500 mA, kuid see suudab taluda kaa 600 mA voolu. Darlingtontransistor koosneb kahest bipolaarsest transistorist, mis on ühendatud sedasi, et esimesest tran- sistorist tulev vool võimendatakse veelgi enam teise transistori poolt. Püsimagnetiga alalisvoolumootorid on laialt levinud erinevates rakendustes, kus olulised on väikesed mõõt- med, suur võimsus ja madal hind. Nende suhteliselt suure pöörlemiskiiruse tõttu kasutatakse neid tihti koos ülekandega (reduktoriga) madalama kiiruse ja suurema pöördemomendi saavu...

Mehhatroonika → Mehhatroonika alused
26 allalaadimist
thumbnail
6
pdf

Raadiosignaal

MHX0065 Mehhatroonikasüsteemide komponendid Praktikum Raadioside aruanne Kuupäev: 15.11.12 Meeskonnaliikmed: 1. Ove Hillep 2. Joosep Andrespuk 3. Ragnar Jaanov Aruande täitis ja esitas: Ove Hillep Labori eeltöö Operatsioonivõimendi on kahe sisendiga võimendi, millel on suur pingevõimendustegur. Niisugune või- mendi võimaldab väheste väliskomponentide lisamisega luua mitmesuguseid lülitusi, mille parameetrid sõltuvad peamiselt vastusideahela (s.o negatiivse tagasiside ahela) omadustest. Spetsiaalseid, vastusideta operatsioonvõimendeid kasutatakse näiteks pingekomparaatoreina. Operatsioonivõimendi LM741CN on üldotstarbeline kvaliteetne ning võrdlemisi lollikindel mitteinver- teriv võimendi, millel on nii sisendi- kui ka väljundikaitsmed. Võimendustegur KD. Nimetatakse ka differentsiaali võimenduseks. Kujutab endast väljundpinge ja seda es- ile kutsunud differentsiaalp...

Mehhatroonika → Konstruktsiooni elemendid
9 allalaadimist
thumbnail
210
docx

Elektroonilised laevajuhtimisseadmed konspekt

Radarid Raadiolokatsioonialused 1.1Raadiolokatsiooni põhimõte Raadiolokatsiooniks nimetatakse objektide avastamist ja avastatud objektide koordinaatide määramist meetodi abil, mis põhineb raadiolainete tagasipeegeldamisel ja peegeldunud raadiolainete vastuvõtul. Sellel põhimõttel töötavat seadet nimetatakse raadiolokaatoriks. Igapäevases keelepruugiks nimetatakse raadio- lokaatorit ka radariks. Termin tuleneb inglise keelest sõnast Radar – radiodetection and ranging 1.2 Radari töö põhimõte Navigatsiooniline raadiolokaator töötab järgmiselt. Saatja genereerib ja kiirgab ülikõrgsageduslikke raadiolaineid, mis sondeerivad ümbritsevat keskkonda. Kui raadiolaine teele satub keha, mille dielektriline läbitavus erineb keskkonna omast, siis teatud osa kehale langevast energiast peegeldub kajana tagasi, millest osa võtab vastu raadiolokaatori antenn ja kuvarile ilmub objekti kaja helendava punkti näol . Sellega on tä...

Merendus → Laevandus
29 allalaadimist
thumbnail
17
odt

Inseneriinformaatika C-Töö

EESTI MAAÜLIKOOL Tehnikainstituut Kursuseprojekt õppeaines ,,Inseneriinformaatika" Energiakasutuse eriala Üliõpilane: "....." ................. 2013. a .............................. Juhendaja: "....." ................. 2013. a .............................. Tartu 2013 Sisukord TÄHISED JA LÜHENDID....................................................................................................4 SISSEJUHATUS....................................................................................................................5 1. ÜLEVAADE KASUTATUD VAHENDITEST..................................................................6 1.1. Ruuter, sülearvuti...

Informaatika → Andmetöötlus
13 allalaadimist
thumbnail
6
pdf

Transistorid

Transistorid Laias laastus võib transe jagada 2-ks: -bipolaartransistorid, -väljatransistorid. Mõlemat liiki saab kasutusala,valmistamistehnoloogia jms järgi jagada nõrkemiseni. Näiteks on olemas madalsagedustransistorid ja kõrgsagedustransistorid, võimsustransid jne. Bipolaartranse juhitakse VOOLUGA, väljatranse aga PINGEGA. Siit tuleb suur erinevus kasutamise seisukohast - nõrka (vähe koormust kannatav allikas, mitte väikese pingega!), ntx. manetofoni või grammofoni helipea signaali on sellise transiga paha võimendada sest ta koormab signaaliallika ära. Väljatransistori puhul seda ohtu ei ole. Bipolaartransil on tavaliselt 3 otsa: - baas ehk juhtelektrood, - emmitter, - kollektor. On ka eritransistore, milledel mõni jalg puudub (ma mõtlen ikka terveid eksemlare ;) või on mõni mitmekordselt. Ntx. nn ühesiirdetransid, milledel on 2 baasi ja kollektor puudub. Väljatranside ja bipolaartranside head omadused on kokku võetud nn IGBT...

Elektroonika → Elektrimaterjalid
27 allalaadimist
thumbnail
32
ppt

Andmetöötlus funktsionaalse seotuse hindamiseks puhkeoleku fMRT-s

Andmetöötlus funktsionaalse seotuse hindamiseks puhkeoleku fMRT-s Data processing for functional connectivity in resting-state fMRI TÜ FI magistrant Dmitri Sutov fMRT · Eesmärgiks uurida ja kaardistada närvitegevust või selle aktiivsust · Kaardistada aju funktsionaalsed alad (motoorne, sensoorne, kõne keskus, jne) · Annab lisainformatsiooni kirurgilise operatsiooni planeerimisel ja kiiritusravi planeerimisel fMRT · Enamasti kasutatakse fMRTs nn EPI (Echo Planar Imaging) sekventsi. · Kõige sagedamini kasutatav tehnika põhineb nn BOLD (blood oxygen level dependent) ehk vere hapnikusisaldusest sõltuval kontrastsusel. BOLD-signaal · BOLD-signaali muutus üldjuhul 1-5% · Signaali võimalik triiv · Pea liikumisest tingitud efektid · Ajupiirkondade lokaliseerimine ja ...

Meditsiin → Meditsiin
6 allalaadimist
thumbnail
29
doc

Arvutid I avalikele eksamipiletitele antud vastused.

Trigerid Triger on mäluelement mis säilitab 1bit informatsiooni. Qt = S + -R * Qt-1Trigeril on 2 stabiilset olekut 1 ja 0. Olekuks nimetatakse trigeri väljundi väärtust antud ajakhetkel. Sõltuvalt sisendsignaalist muudab triger oleku vastupidiseks või säilitab endise oleku. Sünkroniseerimine ­ kui trigeriga on ühendatud lubav sisend, mille kõrgel väärtusel loetakse sisse uued sisendid, toimuvad üleminekud, madalal olekul aga on triger passiivne, säilitades oma endise oleku. Vastasel juhul võiksid erinevate elementide ja kombinatsioonide erinevad viited väjundit mõjutada. Esifront vs tagafront. Ühe- vs kahetaktiline triger (MS-triger) ­ master ja slave pool ... kahetaktilisse on kokku ühendatud 2 trigerit, et sünkroniseerimisel nulli haaramist elimineerida... slave lülitub esimesel taktil, master järgneval SR ­ Set-Reset Triger ... seadesisendiga triger T-triger ­ Toggle triger .. sisendisse impulsi andmisel muudab oleku vastupidise...

Informaatika → Arvutid i
64 allalaadimist
thumbnail
2
docx

GPS tekst

GPS 1) GPS ­ on satelliitnavigatsioon, mille lühend tuleneb inglisekeelsest sõnast, mis tähendab eesti keeles üleilmne asukoha määramise süsteem, mis on kosmosepõhine globaalne navigatsiooni satelliidi süsteem, mille omanik on Ameerika Ühendriikide valitsus. Süsteemi peab üleval Ühendriikide valitsus ja on vabalt kättesaadav kõigile, kellel on GPS vastuvõtja. GPS loodi ja realiseeriti USA Kaitseministeeriumi poolt ning originaalselt oli kasutuses kahekümne nelja satelliidiga, mis asusid 20 200 km kõrgusel. Seekujunes välja 1973. aastal. 2) AJALUGU ­ GPS-i välimis sarnaneb osaliselt maa-baasilise raadionavigatsiooni süsteemiga, mis arendati välja 1940. aastate alguses. Täpsete nõuete saavutamiseks kasutab GPS üldisi relatiivseid põhimõtteid, mis aitab parandada satelliitide aatomkella. Algne inspiratsioon GPS- i loomiseks tuli siis, kui Nõukogude Liit saatis esimese ini...

Füüsika → Füüsika
18 allalaadimist
thumbnail
2
xls

Kahe argumendi loogikafunktsioonid

F-ni funktsiooni Argumentide Funktsiooni Funktsiooni Loogika nr. nimetus funktsioonid selgitus matemaatiline elemendi X1=0011 esitus tähis X2=0101 olekutabel f0 konstantne OOOO Väljundis f0=0 null on signaal alati 0 f1 konjuktsioon OOO1 väljundis on f1=X1*X2 e. Loogiline 1, kui kõikides X1 korrutamine süsteemides on X2 & y e. NING sisendites 1 f2 X2 keeld OO1O väljund võrdub f2=X1* X2 sisendiga X1 kui X2=0. Korral & ...

Informaatika → Digitaaltehnika
69 allalaadimist
thumbnail
9
doc

Ionosfäärse sidekanali mudeldamine - kodutöö

Tallinna Tehnikaülikool Raadio- ja sidetehnikainstituut Mikrolainetehnika õppetool Isesisev töö nr.1 õppeaines ,,Sideseadmete mudeldamine" Ionosfäärse sidekanali mudeldamine Töö koostaja: Aet Udusaar 030740 IATM Tallinn 2007 Töö eesmärk. Analüüsida ionosfäärset levi maakera kahe punkti vahel, tutvuda ionosfäärse side eripärade ja mudeldamise programmiga VOACAP. Analüüsitavad punktid: A: Madrid, Hispaania ­ koordinaadid 40.24.N, 03.41W. B: Tallinn, Eesti ­ koordinaadid 59.25N, 24.45E. Sagedus: F=9MHz Töö käik. 1. Käivitasin programmi VOACAP ja sisestasin andmed. Joonis 1. Andmetega peaaken 2. Signaal-müra suhte sõltuvus sagedusest ja kellaajast. Joonis 2. Signaal-müra suhte sõltuvus sagedusest ja kellaaj...

Informaatika → Sideseadmete mudeldamine
34 allalaadimist
thumbnail
1
docx

Sissejuhatus digitaaltehnikasse, individuaalne koduülesanne

Signalisatsioon: Vaja on jälgida kolme ukse, need on skeemis koondatud kokku VÕI- funktsiooniga. Kui avatakse vähemalt üks uks, läheb signaal edasi RS trigeri Set sisendisse. Kui samal hetkel on valve lüliti sisse lülitatud, läheb signaal RS trigeri väljundist edasi (inverteeritud signaal tuleb RS trigeri Reset sisendisse). Enne alarmi käivitamist on taimer, mis on mõeldud kasutaja poolt valve väljalülitamiseks, enne kui alarm häält tegema hakkab. Joonis 1: Signalisatsioonisüsteem Valgustus: Põlema on tarvis süüdata 3 välisvalgustit, kasutan selle jaoks liikumisandurit, mis annab signaali liikumise peale ja aeglülitit, mis annab signaali hämaral ajal. See on kella-ajaliselt paika pandud. Kui tuleb mõlemalt signaal JA-funktsiooni, läheb signaal edasi valgustitele, mis juba õue valgendama hakkavad. Joonis 2: Valgustite süsteem Boiler: vee soojendamisel...

Informaatika → Sissejuhatus...
72 allalaadimist
thumbnail
23
docx

Automaatkäigukast - automaatkäigukastide liigid, mehaanika, enesediagnoos

Põltsamaa Ametikool Automaatkäigukastid A3 Alvar Müür Kaarlimõisa 2010 1. Ülevaade automaatkäigukastidest 1.1Automaatkäigukastide liigid Automaatkäigukastid muudavad ülekandearvu ehk käike, nagu nimigi ütleb, automaatselt, ilma autojuhi sekkumiseta. Tänapäeva automaatkäigukaste võib jaotada kolme rühma: a) astmeteta, ehk CVT variaatorkastid; b) elektromehaanilise käiguvahetusega käigukastid; c) hüdraulilise käiguvahetuse ja planetaarülekannetega käigukastid. Automaatkäigukastide eeliseks on nende kasutamise mugavus ja suurem sõiduohutus. Autojuht väsib vähem ja ülekandearv muutub koos sõidutingimustega. Hüdrotrafo väldib mootori ja jõuülekande ülekoormamise. Automaatkäigukastide puuduseks võib pidada sidurite läbilibisemisest ja lisandunud elektrienergia vajadusest tin...

Auto → Auto õpetus
209 allalaadimist
thumbnail
5
doc

Kodutöö

Tallinna Tehnikaülikool Infotehnoloogia teaduskond Raadio- ja sidetehnika instituut Iseseisev töö aines IRZ0060 Häirekindlus Töö koostaja: Aet Udusaar 030740 IATM Tallinn 2007 Ülesanne Uurida ja analüüsida joonisel 1 antud skeemi. Joonis 1. Infoedastussüsteemi struktuurskeem Andmed: Edastuskanal: AWGN+Rice (K=1) Modulatsioon: BPSK Häirekindel kood: CC (4;2,8) Edastuskanal AWGN lühikirjeldus AWGN (Additive White Gaussian Noise) tähendab lühendit valge gaussi müraga edastuskanalile (additive white gaussian noise). Vastuvõtjas võetakse vastu signaal, mis omab kuju r(t) = s(t) + n(t), kus r(t) on vastu võetud signaal, s(t) edastatud signaal ja n(t) on valge müra. Valge gaussi müra on müra, mille sagedusspekter on pidev ja ühtlane üle kogu sagedusala. Samuti on valgel müral iga hertsi kohta võrdne...

Informaatika → Häirekundlus
58 allalaadimist
thumbnail
4
doc

Laboratoorne töö nr:1

TALLINNA TEHNIKAÜLIKOOL Energeetikateaduskond Elektroenergeetika instituut Energiasüsteemide õppetool Laboratoorne töö nr:1 Õppeaines AES3610 ,,Programmeeritavad kontrollerid" PROGRAMMEERITAVAD KONTROLLERID JA PROGRAMMERIMINE 2007 Tallinn Kontroller on mikroarvuti, mis on ettenähtud seadmete, protsesside juhtimiseks. Tänapäeval kasutatakse kontrollereid väga laialdaselt erinevates valdkondades. Kontrollereid kasutatakse meditsiinis, energeetikas, transpordis (autod, lennukid, laevad), olme elektroonikas (televiisorid, raadiod), sides jne. Automaatjuhtimises kasutatakse kahte juhtimisviisi: aparatuurne juhtimine ja programmjuhtimine (joonis 1.1). Esimesel juhul koostatakse düüsiline skeem, mis koosnev releedest, lülititest, kontaktoritest jne. Teisel puhul piisab programmi koostamiest kontrolleri jaoks. On selge, et programmi on palju lihtsam muuta...

Informaatika → Programmeeritavad kontrollerid
114 allalaadimist
thumbnail
13
docx

Harjutustundide aruanne Automaatjuhtimise alused

Tallinna Tehnikaülikool Elektriajamite ja jõuelektroonika instituut Harjutusülesannete aruanne õppeaines Automaatjuhtimise alused Üliõpilane: Matrikli nr.: Õpperühm: AAAB-41 Juhendaja: Taavi Möller Tallinn 2013 1. Lineaarsete süsteemide tüüplülid 1 1 voimendus1 Eesmärgiks on tutvuda integreerimis, ...

Masinaehitus → Automaatika alused
50 allalaadimist
thumbnail
3
doc

Test 2. kokkuvõte (lubatud spikker)

3.VASTUVÕTJA INFORMATIIVNE TRAKT- Informatiivse trakti struktuur sõltub: projekteerimise lähteandmetest (mida on eelnevalt teada signaalist, müradest), optimaalsuskriteeriumitest. kirjanduses on palju tuntud optimaalseid lahendusi teatud tüüpsituatsioonide kohta. Samas on need tüüpsituatsioonid suhteliselt lihtsad ning ei pruugi tegelike vajadustega kokku langeda. sellegipoolest taandub informatiivse trakti optimaalse projekteerimise lähtelahendused suurel määral lihtsustatud optimaalsete tüüpstruktuuride leidmisele. Informatsioonitraktis lahendatakse klassikalisi signaalitöötluse ülesandeid, milledeks on mürade, häirete taustal oleva signaali:Demodulatsioon (detekteerimine);Regeneratsioon (signaali taastamine ­ tavaliselt impulss-kood modulatsiooni korral; Otsimine (sageduse, amplituudi, modulatsiooni liigi, faasi järgi); Avastamine; Sünkronisatsioon (sageduse, faasi, kandevlaine viiteaja, alamkandesageduse, taktsageduse, koodi järjest...

Informaatika → Kõrgsageduslik...
39 allalaadimist
thumbnail
1
doc

Rakendus elektroonika(1)spikk

Operatsioon võimendid: Operatsioon võimendid on integraalselt teostatud ahela muutmisega võimendus tegurit, siis nihkub võimendi ülemine sagedus piir universaalsed võimenduselemendid, mida võib kasutada väga mitmeti, sõltuvalt lisatud madalamatele sagedustele. Juhul kui saadud ülemisest sagedus piirist ei piisa tuleb võtta elementidest. Operatsioon võimendil on kaks sisendit,üksväljund ja teda toidetakse kahe kasutusele suurema transiitsagedusega Op võimendi. Op võimendite rakendusi: Oma polaarse sümeetrilise pingega (+,-maa suhtes).Plussiga tähistatud sisendit loetakse mitte nimetuse on Op võimendi saanud esmasest kasutus valdkonnast. Sest tema abil on inventeerivaks sisendiks ja sinna antav signaal tekkitab väljundis samafaasilise signaali. võimalik teostada elektriliselt matemaatilisi operatsioone, see tähendab liitmist, ­ tähistatud sisendit loetakse inventeerivaks sisendiks ja sinna antud sign...

Elektroonika → Rakenduselektroonika
32 allalaadimist
thumbnail
5
doc

Töö nr. 2 - SIGNAALIDE MÕÕTESEADMED

Tallinna Tehnikaülikool Automaatikainstituut Töö nr. 2 SIGNAALIDE MÕÕTESEADMED LAV3730 Mõõtmine Töö tehti 11. aprill 2002 brigaadiga koosseisus: Aruanne üliõpilane aruanne esitatud aruanne kaitstud Töö iseloomustus: Seadmed pinge ja voolu signaalide mõõtmiseks kõrgematel sagedustel on oluliselt erineva ehituse ja ühendusviisiga kui seadmed võrgupinge ja voolu mõõtmiseks. Töö eesmärk: Tutvuda signaalide mõõtmiseks kasutatavate üldotstarbeliste mõõteriistatega: multimeetriga, ostsillograafiga, generaatoriga ja fasomeetriga. Mõõteriistade ühendamine skeemi, mõõtemääramatuse arvutamine. Töövahendid: Multimeeter B7-37, multimeeter B7-40/5, generaator G3-112, ostsillograaf C1-83, fasomeeter F2-34, ühenduskaablid, klemmliist. Töökäik: 1. Vahelduvpi...

Metroloogia → Mõõtmine
9 allalaadimist
thumbnail
0
DOC

Elektrotehnika eksami küsimuste vastused

docstxt/125910246486650.txt

Tehnika → Elektrotehnika
420 allalaadimist
thumbnail
24
docx

Side teooria

Telefoniside teeninduspiirkonna suhtes. Globaalne sidesusteem Kõige lihtsam sidesüsteemi näide ,koosneb kahest teenindab abonente uhest maailma otsast teise abonendist A ja B ,ning neid ühendavast võrgust. ,ning lokaalne sidesusteem teenindab abonente A ja B nimetatakse ka terminaliks ,millesse ainult sisestatavad andmed liiguvad labi võrgu punktist hoone raames. Naiteks jagunevad vorgud soltuvalt A punkti B. Juurdepääsuvõrk on võrk mis oma suurusest jargmistesse liikidesse. ühendab otseselt lõppkasutajaga ehk teenuse WAN (laivork) wide area network kasutajaga. Juurdepääsuvõrk on ühendatud MAN (regionaalvork) metropolitan area network magistraalvõrguga mis koosneb suuri keskjaamu LAN (kohtvork) local area network ühendavatest liinidest. CAN (linnakuvork) campus area network ­ Juurdepääsuvõrk ja ühe...

Informaatika → Side
241 allalaadimist
thumbnail
6
doc

Praktiline töö EP1

LELOL Praktiline töö eP1 praktILINE TÖÖ Õppeaines: Hüdro- ja pneumoseadmed Mehaanikateaduskond Õpperühm: MI-31B Juhendaja: lektor Samo Saarts Tallinn 2015 1. Tööülesanne. Vastata antud küsimustele. EP1.H1 Küsimused: 1. Milles seisneb loogilise tehte NING sisu? 2. Mis juhtub, kui seadme juhtimisel jääb üks või kaks lülitit mõjutamata? 3. Kirjeldada lülitusnupu põhimõttelist ehitust. 4. Millisel põhimõttel toimub elektriliselt juhitava jaoti siibri nihutamine? 5. Võrrelda NING tehte realiseerimist elektriliselt ja pneumaatiliselt (töö PN4 või PN5). 6. Tuua praktikast näiteid NING tehte kasutamise kohta. Vastused: 1. NING sisu peitub selles, et enne ei lasta midagi läbi kui kõiki signaale pole saadud. 2. Siis silinder ei liigu. 3. Nupule vajutades ühendatakse omavahel 2 klemmi (peale tuleva voolu oma ning välja minev voo...

Masinaehitus → Hüdro- ja pneumoseadmed
18 allalaadimist
thumbnail
4
doc

Telekommunikatsiooni mõõtesüsteemid IRO0030 Labor 3 aruanne

TALLINNA TEHNIKAÜLIKOOL Raadio- ja sidetehnika instituut Õppeaine: Telekommunikatsiooni mõõtesüsteemid IRO0030 Laboratoorne töö: Ostsilloskoop ja signaaligeneraator Aruanne Täitjad: Esitajad: allkiri.......................... allkiri.......................... allkiri.......................... Juhendaja: Töö sooritatud: 2009 Aruanne esitatud: 2009 Aruanne tagastatud: ...........2009 Aruanne kaitstud: .............2009 Juhendaja allkiri............................. Töö eesmärk: 1. Õppida kasutama signaaligeneraatorit mitmesuguse kujuga signaalide tekitamiseks: · perioodilised moduleerimata signaalid · moduleeritud signaalid · impulsssignaalid · erikujulised signaalid 2. Õppida ...

Informaatika → Telekommunikatsiooni...
14 allalaadimist
thumbnail
2
doc

Rakendus elektroonika(2)spikk

Operatsioon võimendid: Operatsioon võimendid on integraalselt teostatud universaalsed võimendi väljundtakistus ongi reaalselt mõne ringis, seega 100 korda väiksem kui Op võimendi võimenduselemendid, mida võib kasutada väga mitmeti, sõltuvalt lisatud elementidest. Operatsioon takistus. Väljundtakistuse vähenemine on seda tugevam, mida tugevam on kasutatav tagasiside. võimendil on kaks väljundit, üks väljund ja teda toidetakse kahe polaarse sümeetrilise pingega (+, - maa Inventeerivvõimendi: suhtes). Plussiga tähistatud sisendit loetakse mitte inventeerivaks sisendiks ja sinna antav signaal tekkitab väljundis samafaasilise signaali. ­ tähistatud sisendit loetakse inventeerivaks sisendiks ja sinna antud signaal tekitab väljundis vastasfaasilise signaali. Op võimendi on alalispinge võimendi, seetähendab tema võimendus sageduse alumine piir on 0. see omadus tingib omakorda võimendi sees otsese sidest...

Elektroonika → Rakenduselektroonika
41 allalaadimist
thumbnail
6
doc

Laboratoorne töö nr2

Loogikakäske kasutatakse lihtsate binaarfunktsioonide programmeerimiseks (nt. NING, VÕI, EI ja nende kombinatsioonid). Programmeerimiskeel STEP 7 võimaldab programmeerida kuuel erineval viisil. Järgnevates peatükkides vaadeldakse põhiliselt kolme erinevat programmeerimisviisi - loogikaskeem, kontaktaseskeem ja käsulist (tabel 1.1). Tabel 1.1 Loogikaelemendid Joonisel 1on esitatud elektriskeemina, kontaktaseskeemina ja loogikaskeemina NING- ja VÕI- lüli. NING-lüli tööd iseloomustab see, et väljundis on olek "1" ainult siis, kui kõigis sisendites on olek "1". VÕI-lüli tööd iseloomustab see, et väljundis on olek "1", kui kasvõi ainult ühes sisendis on olek "1". Sisend ja väljundahelate kohale kirjutatakse operandide koodid. Kui võrrelda kahte joonist omavahel, võib öelda, et S1-le vastab kontrolleri sisend aadressiga 0.0, S2- le vastavalt sisend aadressiga 0.1 ning H1-le väljund aadressiga 0.0. ...

Informaatika → Programmeeritavad kontrollerid
87 allalaadimist
thumbnail
8
pdf

Digitaaltehnika

1. Kahendsüsteem ja selle teisendamine kümnendsüsteemi. Sümbolite arv ehk süsteemi alus p=2, sümbolid on 0 ja 1. Järkude kaalud vasakul pool koma on 2 0; 21; 22; 23 jne. Ning paremalpool koma 2-1; 2-2; 2-3; jne. Näide. Hakkame , pihta ja liigume vasakule (0 ei pea kirjutama) 100101,1012 = 1*20+0*21+1*22+0*23+0*24+1*25+1*2-1+0*2-2+1*2-3 =1+4+32+1/2+1/8=37+0,5+0,125=37,625 10 2. Kümnendsüsteem ja selle teisendamine kahendsüsteemi Sümbolite arv ehk üsteemi alus p=10 sümbolid on 0;1;2;3;....;9, järkude kaalud vasakul pool koma on 100; 101; 102; jne ning paremal pool koma 10-1; 10-2; 10-2 jne. Näide. 598,7410 = 8*100+9*101+5*102+7*10-1+4*10-2 Teisendamine 2'hend süsteemi. Täisarvu teisendamiseks kahendsüsteemi jagatakse seda süsteemi alusega ja jääk kirjutatakse kõrvale. Näide. 55 10->2 55:2 1 27:2 1 13:2 1 6:2 0 3:2 1 1 1 Vanemad järgud on allpool ja arv kirjutatakse vastusesse vasakult par...

Informaatika → Digitaaltehnika
66 allalaadimist
thumbnail
5
doc

William Golding - "Kärbeste Jumal"

Kärbeste Jumal Lennuk koos Briti kooli õpilastega kukkus troopilise saarele, eeldatavasti lastud maha Teises Maailmasõjas. Ralph üks ellujääjatest ronib Põssaga läbi lennuki varemete ja metsaaluse randa. Pärast lühi tutvustust alustasid nad kahekesi arutelu mida edasi tuleks teha. Ralph oli esimese mulje järgi sõbralik Põssa suhtes,kes on ülekaalus ja kannab suuri prille oma näol. Põssa isiksus tundub olevat paranoiline. Ta kasvas ülesse oma tädi (kelle nimele ta regulaarselt tugineb ,,Minu tädi ütleb, et ...." jne.) ja regulaarselt räägib, kuidas ta oli koolis naeruvääristatud ja kus ta sai endale hüüdnimeks Põssa. See juhtus siis kui Põssa ütles hirmunult : ,,Mind ei huvita, kuidas te mind kutsute nii kaua kui .... see ei ole mida nad tavaliselt kutsusid mind koolis ..... Nad kutsusid mind Põssaks!" . Põssa räägib lakkamatult kuni pahameele punktini, seletades mõned oma sotsiaalsetest probleemidest. S...

Kirjandus → Kirjandus
449 allalaadimist
thumbnail
5
doc

Signaalide mõõteseadmed - praktika

Tallinna Tehnikaülikool, Automaatikainstituut Töö nr. 2 nimetusega SIGNAALIDE MÕÕTESEADMED aines LAV3730 Mõõtmine Töö tehti 5. aprill 2001 brigaadiga koosseisus: Veiko Lepp Anneli Kaldamäe Aruanne üliõpilane ANNELI KALDAMÄE 991476 LAP-41 aruanne esitatud aruanne kaitstud Töö iseloomustus Seadmed pinge ja voolu signaalide mõõtmiseks kõrgematel sagedustel on oluliselt erineva ehituse ja ühendusviisiga kui seadmed võrgupinge ja voolu mõõtmiseks. Töö eesmärk Tutvuda signaalide mõõtmiseks kasutatavate üldotstarbeliste mõõteriistatega: multimeetriga, ostsillograafiga, generaatoriga ja fasomeetriga. Mõõteriistade ühendamine skeemi, mõõtemääramatuse arvutamine. Töövahendid Multimeeter B7-37, multimeeter B7-40/5, generaator G3-112, ostsillograaf C1-83, fasomeeter F2-34, ühenduskaablid, klemmlii...

Metroloogia → Mõõtmine
81 allalaadimist
thumbnail
5
doc

Praktikum 2 "Signaalide mõõteseadmed"

Töö iseloomustus Seadmed pinge ja voolu signaalide mõõtmiseks kõrgematel sagedustel on oluliselt erineva ehituse ja ühendusviisiga kui seadmed võrgupinge ja voolu mõõtmiseks. Töö eesmärk Tutvumine signaalide mõõtmiseks kasutatavate üldotstarbeliste mõõteriistadega: multimeetriga, ostsillograafiga, generaatoriga, fasomeetriga. Mõõteriistade ühendamine skeemi, mõõtevigade määramine. Kasutatud seadmed -- Multimeeter B7-37 -- Multimeeter B7-40/4 -- Generaator G3-112 -- Ostsillograaf C1-83 -- Fasomeeter F2-34 -- Ühenduskaablid ja klemmliist Töö käik 1.Vahelduvpinge mõõtmine a) Siinuseline signaal: F = 2 KHz, U = 3 V, UP = 20 V, Generaatori sumbuvus 10dB ...

Metroloogia → Mõõtmine
63 allalaadimist
thumbnail
4
docx

Töö nr 2 nimetusega SIGNAALIDE MÕÕTESEADMED

TALLINNA TEHNIKAÜLIKOOL Infotehnoloogia teaduskond Automaatikainstituut Töö nr 2 nimetusega SIGNAALIDE MÕÕTESEADMED Aruanne ai nes ISS0050 Mõõtmi ne Õppejõud: Rein Jõers Tallinn 2011 Üldine iseloomustus Seadmed vahelduvsignaalide pinge ja voolu mõõtmiseks on oluliselt erineva ehituse ja ühendusviisiga kui seadmed alalissignaalide mõõtmiseks Töö eesmärk Tutvu signaalide mõõtmiseks kasutatavate mõõteriistadega: multimeetriga, ostsillograafiga, generaatoriga, fasomeetriga. Mõõteriistade ühendamine ja kasutamine. Kasutatud seadmed: Generaator G3-112/1 2 Voltmeeter B7-40/4 1 Voltmeeter B7-37 Ostsillograaf S1-83 Fasomeeter F2-34 Töö käik 1. Vahelduvpinge mõõtmine a) Siinuseline signaal: sagedus 2 kHz, pinge 3 V Generaatori sumbuvus 10 dB. ...

Metroloogia → Mõõtmine
25 allalaadimist
thumbnail
5
pdf

Mõõtmise aruanne - SIGNAALIDE MÕÕTESEADMED

TALLINNA TEHNIKAÜLIKOOL Infotehnoloogia teaduskond Automaatikainstituut OLGA DALTON 104493IAPB Töö nr 2 nimetusega SIGNAALIDE MÕÕTESEADMED Aruanne aines ISS0050 Mõõtmine Õppejõud: Rein Jõers Tallinn 2011 Üldine iseloomustus Seadmed vahelduvsignaalide pinge ja voolu mõõtmiseks on oluliselt erineva ehituse ja ühendusviisiga kui seadmed alalissignaalide mõõtmiseks Töö eesmärk Tutvu signaalide mõõtmiseks kasutatavate mõõteriistadega: multimeetriga, ostsillograafiga, generaatoriga, fasomeetriga. Mõõteriistade ühendamine ja kasutamine. Kasutatud seadmed: Generaator G3-112/1 Voltmeeter V7-40/4 Voltmeeter V7-37 Ostsillograaf S1-83 Fasomeeter F2-34 Töö käik 1. Vahelduvpinge mõõtmine a) Siinuseline signaal: sagedus 2 kHz, pinge 3 V ...

Metroloogia → Mõõtmine
33 allalaadimist
thumbnail
1
docx

Radar

Radar Radar tuleb inglis keelest, radio detective and ranging. Selles kasutatakse elektromagnetlaineid liikuvate või liikumatute objektide kauguse, kõrguse, kiiruse ja liikumise suuna määramiseks. Radiosignaal saadetakse suundantenni abil eetrise. Signaal peegeldub objektidelt ja saabub tagasi vastuvõtjasse, selle tagasi jõudmise aja järgi arvutatakse objekti asukohta. Objekti kiiritamisel raadiolainetega suunatakse radari antenn teravasse ruuminurka elektromagneetiline impulss, kestvusega alla 1 mikrosekundi, mis peegelduvad objektidelt, mille dielektriline ja magnetiline läbitavus erineb keskkonna omast. Objekti otsides muudetakse antenni suunda, objekti kaugust mõõdetakse ajas mis kulus impulsil edasi tagasi liikumiseks, 1ms vastab 150 m. Objekti asukohat määratakse suundantenni asendi järgi momendil, kui objektilt peegeldunud signaal on maksimaalne. See väljendub ekraanile tekiva täpina mille ...

Füüsika → Füüsika
8 allalaadimist
thumbnail
1
doc

Analoog-digitaal muundur

Analoog- digitaal muundur alltoodud skeemil on näidatud analoog digitaal muundur (ADM, eesti; ADC, inglise). antud muundur on ehitatud loenduri ja digitaal-analoog muunduri baasil. analoogsisendisse antakse analoogsignaal mida tahetakse viia digitaalsele kujule stardi pulss: muundamise protsessi (konversiooni) alustamiseks antakse vastav signaal taktsageduse sisendisse antakse mingisuguse sagedusega clock signaal loenduri sisu seerendamiseks digitaalväärtus mis vastab analoogsignaalile saadakse loenduri väljundist võrdluselemendiks sobib hästi komparaator operatsioonivõimendi baasil, annab märku sellest kas analoogsignaal (analoogsisendist) on suurem või väiksem digitaal analoogmuundurist (DAC) tulevast signaalist. vaikimisi, kui loenduri sisu on 0 siis võrdluselemendi väljundis on "1". muunduri tööpõhimõte on järgmine: mingil ajahetkel saabub impulss stardi sisendile. sellega nullitakse loenduri sisu. ja katkestatakse hetkeks lo...

Elektroonika → Elektroonika
42 allalaadimist
thumbnail
14
docx

Psüühika põhifunktsioonide õpiküsimustik 1

Õpiküsimus Vastus Allikas 1. Nimeta inimese Elundid: Kõrv, silm, nina, keel, ÕO2 meeleelundid ja kompimiselundid. L2 meelesüsteemid ning Meelesüsteemid: Nägemine, kuulmine, kirjelda nende L3 tasakaalumeel, maitsmismeel, toimimist. Tee oma L4 haistmismeel ja kompimine. vastuse põhjal valikvastustega Meeleelundite funktsiooniks on (vähemalt 4 varianti) konverteerida füüsikalised eksamiküsimus. stiimulid närviimpulssideks, mida närvisüsteem seejärel töötleb kõrgemates ajukeskustes. Vahel käsitletakse meeleelundeid kui sensoorseid süs...

Psühholoogia → Psüholoogia
192 allalaadimist
thumbnail
1
doc

3 töö spikker

SÜMMEETRILISE STRUKTUURIGA peamiselt viiteaja ja doppleri FIR FILTER-idee seisneb selles, et sagedusnihke potentsiaalse likvideerida viide sisend ja mõõtetäpsuse ning signaalide väljundsignaalide vahel. Selleks tuleb potentsiaalse eristusvõime hulgaliseks Nihutada ajaarvamise impulsskaja hindamiseks. TÄISNURKNE, keskpunkti. IMPULSISISESE Arvutusmahtu on võimalik kokku hoida MODULATSIOONITA SONDEERIV kui fir filtri impulsskaja on paaris või SIGNAAL-analüütiline valem: paaritu funktsioon. Paarisfunktsiooni s(t)=A(t)cos0t. Kompleksamplituud on korral . Määramatuse funktsiooni h(n) = h c (n)h c (- n) =h c (n) N . uurimisel kasut tema lõikeid erinevate üksteise suhtes tuleb nihutatud tasapindadega. Keerukuse tõttu sisendsignaalid summeerimise alusel kas...

Informaatika → Digisignaalide töötlemine
56 allalaadimist
thumbnail
19
docx

Harjutustundide aruanne: Automaatjuhtimise alused

Tallinna Tehnikaülikool Elektriajamite ja jõuelektroonika instituut Harjutusülesannete aruanne õppeaines Automaatjuhtimise alused Üliõpilane: Matrikli nr.: Õpperühm: AAAB-41 Juhendaja: Taavi Möller Tallinn 2013 1. Lineaarsete süsteemide tüüplülid Eesmärgiks on tutvuda integreerimis-, aperioodilise- ja võnkelüliga. 1.1. Integreerimislüli 1 1 voimendus1 Sisendiks kasutada konstantset signaali. s Variandid Constant Transfer F...

Energeetika → Elektrijaotustehnika
4 allalaadimist
thumbnail
6
docx

Signaalide mõõteseadmed

Ta l l i n n a Te h n i k a ü l i k o o l Automaatika instituut Mõõtmine ISS0050 Laboratoorne töö nr 3 Signaalide mõõteseadmed Töö mõõdetud Töö esitatud Töö kaitstud Tallinn 2011 AUTORIDEKLARATSIOON Deklareerin, et olen antud laboratoorse töö teostanud vastavalt eeskirjale, mõõtmisi olen teostanud koos etteantud brigadiriga . Aruande olen koostanud ise. Autor Töö iseloomustus: Seadmed pinge ja voolu signaalide mõõtmiseks kõrgematel sagedustel on oluliselt erineva ehituse ja ühendusviisiga kui seadmed võrgupinge ja voolu mõõtmiseks. Töö eesmärk: Tutvuda signaalide mõõtmiseks kasutatavate üldotstarbeliste mõõteriistadega: multimeetriga, ostsillograafiga, generaatoriga ja fasomeetriga...

Metroloogia → Mõõtmine
33 allalaadimist
thumbnail
7
pptx

Kõrvaklapid

KÕRVAKLAPID JUHTMETA KÕRVAKLAPID Signaal edastatakse raadiolainete vahendusel Analoogsignaali ülekandel ei küüni heli kvaliteet juhtmeühenduse tasemele Märksa parema helikvaliteedi tagab signaali ülekanne digitaalkujul Digitaalsignaali edastus võib toimuda Bluetooth-ühenduse kaudu, nt nutitelefonist Signaaliallikaga (nt AV-ressiiver, teler, mängukonsool) ühendatud raadiosaatjaga kõrvaklappide korral võib signaal levida mitmekümne meetri kaugusele MÜRA SUMMUTAVAD KÕRVAKLAPID Ümbritsevast keskkonnast kõrva jõudva häiriva müra taset saab alandada passiivsete ja aktiivsete meetmetega Passiivselt vähendavad müra suured suletud kõrvaklapid Aktiivse summutuse korral muundab klapi välisküljel paikneva mikrofoniga elektroonikalülitus vastuvõetava müra vastandfaasiliseks elektrisignaaliks Aktiivse mürasummutuse graafiline selgitus...

Informaatika → Arvutite lisaseadmed
2 allalaadimist
thumbnail
3
rtf

Inimese üldiseloomustus ja ülevaade inimorganismi ülesehitusest

2.1 INIMESE ÜLDISELOOMUSTUS · suur ajumaht (u 1400 cm3) · püstine kehahoiak, liikumine kahel jalal · sigimine ei ole seotud aastaaegadega · mitmekesine toit (+ toidu jagamine) · käitumine märgisüsteemide abil (kohanemine, kõnevõime, kirjaoskus) · sotsiaalsed rühmad on organiseeritud perekondadena · tehnoloogilised oskused - inimene sõltub ellujäämisel oma tehnoloogilistest oskustest ja tööriistadest (kaevamiskepikestest mikroskoopideni) · teistsugune ruumikasutus - toit ühest kohast, töö teises kohas, kodu kolmandas Inimene kuulub loomariiki, imetajate klassi, primaatide seltsi, inimlaste sugukonda. Inimesel pole tekkinud evolutsioonis juurde ühtegi põhimõtteliselt uut kehaehituslikku struktuuri ega funktsiooni. Neoteenia - pidurdunud areng ja ellaste noorjärgu tunnuste säilimine täiseas. 2.2 ÜLEVAADE INIMORGANISMI EHITUSEST RAKUD JA KOED rakud koed organid elundkond org...

Bioloogia → Bioloogia
29 allalaadimist
thumbnail
22
docx

Raadio ajalugu ja tööpõhimõtted

Gustav Adolfi Gümnaasium Mari-Liis Leinus, 11.c klass RAADIO Referaat Tallinn 2012 Sisukord Sissejuhatus Raadioks nimetatakse signaali edastamist elektromagneetilise kiirguse abil, mille sagedused jäävad tunduvalt alla nähtava valguse sageduse, vahemikus umbes 3kHz kuni 300GHz. Raadio on äärmiselt oluline, kuna võimaldab edastada informatsiooni väga pikkade vahemaade taha, samuti seda vastu võtta. Samuti levib see ka läbi erinevate tõkete, vaakumi ning sobiva lainepikkuse puhul ka mööda maakera kurvatuuri. Tänu sellele on raadio omandanud väga palju erinevaid kasutusotstarbeid ­ alates lihtsatest raadiosaatjatest, mida kasutavad näiteks kaubanduskeskustes turvatöötajad kuni väga võimsate ja täpsete jaamadeni, mis vahetavad informatsiooni satelliitidele ja isegi päikesesüsteemist väljunud kosmosesondi Voyager 1 vahel, millelt tuleva signaali Maale jõudmiseks kulub 11 tundi, kusjuures signaal liigub valguse...

Füüsika → Füüsika
23 allalaadimist
thumbnail
15
doc

KORVPALLI REEGLID

Kris Killing KORVPALLI REEGLID REFERAAT Terviseteaduste ja Spordi Instituut Kehakultuur(TK1-KÕ) Pärnu 2012 SISUKORD SISSEJUHATUS.................................................................................................................................3 AJALUGU ..........................................................................................................................................4 MÄNGUVÄLJAK.............................................................................................................................. 4 KORVID..............................................................................................................................................5 KORVILAUAD...................................................................................................................................5 PALL.......................................................

Informaatika → Arvuti töövahendina
33 allalaadimist


Sellel veebilehel kasutatakse küpsiseid. Kasutamist jätkates nõustute küpsiste ja veebilehe üldtingimustega Nõustun