Vajad kellegagi rääkida?
Küsi julgelt abi LasteAbi
Logi sisse Registreeri konto
✍🏽 Avalikusta oma sahtlis olevad luuletused! Luuletus.ee Sulge

"sisendid" - 301 õppematerjali

sisendid on kas valesti kombineeritud või neid raisatakse (X-ebaefektiivsus). 149. Kas avaliku sektori ebaefektiivsus tuleneb tootmise sisestest või tootmisvälistest põhjustest? Tootmisvälistest põhjustest.
thumbnail
38
docx

Arvutid I Eksami pletid

Seetõttu ei kulu ülekandeks lisaaega ning summaator töötab kiiremini kui jadaülekande korral. Kiire ülekandega summaatorid - nende puhul on rakendatud rööpülekannde põhimõtet kombineeritult koos jadaülekandega. Ülekanded on moodustatud kõigi kohtade jaoks korraga. 9.Multipleksor ja demultipleksor. Multipleksor - Kujutab endast andmeselektorit. Multipleksoril on mitu sisendit ja üks väljund. Sisendid jagunevad infosisenditeks ja juhtsisenditeks, kusjuures infosisendite arv määrab ära juhtsisendite arvu ning vastupidi. Vastavalt juhtsignaalile kommuteeritakse multipleksori väljundisse signaal ühest infosisendist. Kommuteeritavate infosisendite arv võrdub 2n, kus n on juhtsisendite arv. Järelikult saab kahe juhtsisendiga ehk kahebitise koodiga kommuteerida 4 sisendit, kolme juhtsisendiga 8 sisendit jne.Piisava arvu...

Arvutid
129 allalaadimist
thumbnail
204
docx

Süsteemianalüüsi kontrolltöö 1

Roost , TTÜ Informaatikainstituut, Loengukonspektid aines Süsteemianalüüs, 2014 IDU 5360 SÜSTEEMIANALÜÜS Loeng 1. Sissejuhatus (kontseptuaalsesse) süsteemianalüüsi.  Aine fookus  Aine taust  Eesmärgid ja õpiväljundid  Aine korraldus Aine fookus KONTSEPTUAALNE SÜSTEEMIANALÜÜS  VALDKONNA ANALÜÜS  TARKVARA NÕUETE ANALÜÜS  ITERATIIVNE ARENDUSPROTSESS Fookus: Kontseptuaalse süsteemanalüüsi meetodite rakendamine valdkonna ning tarkvara nõuete detailseks analüüsiks iteratiivses arendusprotsessis Aine taust Analüüsi ained: 1. Sissejuhatus infosüsteemidesse (IDU 3350) või Modelleerimine (IDU 3355); -> 2. -> Süsteemianalüüs (IDU 5360) -> 3. -> Infosüsteemi strateegiline analüüs (idu0021) ehk Ettevõtte äriarhitektuur (idu1321) Aine on eelduseks (OIS) IDU5661 - Infosüsteemide projekteerimine, IDU0050 - Objektorienteeritud disain, IDX5010 - Struktuuranalüüs ja ekspertsüsteemide te...

Modulatsioon
72 allalaadimist
thumbnail
42
doc

Automatiseerimistehnika vaheeksam II kordamisküsimused vastustega

Programm esitatakse tekstiliste käskude jadana. Kasutatavate käskude arv on suurem kui teistes keeltes. Paljud graafilistes keeltes ühe plokina esitatud toimingud tuleb realiseerida mitme käsuga. 3. Programmeerimine käskudes STL (Statement list) – antud moodust on mugav kasutada nendel spetsialistidel, kes omavad eelnevat programmeerimisalast kogemust. 4. Loogikaskeem – põhielemendiks on loogikaelement, sisendid paiknevad elementidest vasakul, väljundid paremal. Loogikaskeem võimaldab head ülevaadet programmiga realiseeritud algoritmist. 18. Kirjeldage laboris õpitud roboti MP-9S (AvtoVaz) kasutatud programmeerimisviisi, kirjeldage kasutatud amortisaatorite tüüpi ning selgitage millist ülesannet täitsid herkonid. 19. Kirjeldage laboris õpitud paindtootmiskompleksis (FMS) võimalikke roboti programmeerimisviise, selgitage mida ei saanud robotil ’’Mentor’’ teha...

Automatiseerimistehnika
193 allalaadimist
thumbnail
116
pdf

Sissejuhatus majandusteooriasse

TARTU ÜLIKOOLI ÕIGUSTEADUSKOND TALLINNAS ( ÕIGUSINSTITUUT) ÕPPEMATERJAL ÕPPEAINES SISSEJUHATUS MAJANDUSTEOORIASSE külalisõppejõud Raissa Kokkota MAJANDUSE JA MAJANDUSTEADUSE OLEMUS, ANALÜÜSIMISE MEETODID JA VAHENDID 1.Majandusteaduse olemus 1.1.Majandusteadus 1.2.Mikroökonoomika ja makroökonoomika 2.Majanduse põhiküsimused ja majandussüsteemid 3.Turg ja majandus 3.1.Majandusagendid 3.2.Majandussektorid 3.3.Tulu ja kulu ringkäik 4.Majandusteadlaste töö majanduse analüüsimisel 4.1.Teaduslik meetod = positivistlik ja normatiivne analüüs 4.2.Teooriad ja mudelid 4.3.Majandusanalüüsi keeled 4.4.Tüüpilised vead ehk eksi järeldused 5.Tootmisvõimaluste kõver 5.1.Olemus ja graafiline kujutamine 5.2.Tootmisvõimaluste kõvera nihked 5.3.Alternatiivkulu 1.Majandusteaduse olemus 1.1.Majandusteadus TEADUS - teadmiste süsteem, hulk, mis genereerib uusi teadmisi. MAJANDUSTEADUS - * ökonoomika, inglise .keele...

Majandusõpe
172 allalaadimist
thumbnail
44
docx

Operatsioonijuhtimine eksami vastused

Operatsioonisüsteemi arendamine ja juurutamine (tootmisprotsess, tootmisvõimsus, asukoht, tootearendus, standardid, töökorraldus..) 4. Süsteemi talitluse planeerimine ja kontroll plaanide täitmise üle (varude juhtimine, tarneahela juhtimine, tootmisplaanid, kvaliteedikontroll..) 5. Kvaliteedi tõstmine (kvaliteedijuhtimine, protsesside parendamine, riskijuhtimine..)  Operatsioonisüsteemi sisendid ja väljundid. Muudetavad sisendid: •Materjal – kasutavad tootmisettevõtted; jaekaubandus; posti- ja transpordiettevõtted, laoteenuste pakkujad. •Info – raamatupidamisteenuse pakkujad; turu-uuringute ettevõtted; finantsanalüütikud; telekommunikatsioon (uudised) •Klient – võib olla samuti sisendiks, näit teenindus: juuksur, hotell, haigla, hambaarst, teater, takso ja buss (muudab kliendi asukohta)....

Operatsioonijuhtimine
149 allalaadimist
thumbnail
42
pdf

Diskreetse matemaatika mõisted selgitustega

8. Milliste loogikatehete jaoks on olemas oma spetsiaalsed loogikaelemendid? On olemas loogikaelemendid inversiooni, konjunktsiooni, disjunktsiooni, konjunktsiooni inversiooni, disjunktsiooni inversiooni ja summa mooduliga 2 jaoks. 9. Mis on multipleksor? Mitu väljundit on igal multipleksoril? Multipleksorid on loogikaskeemides kasutatavad kommutatsioonielemendid. N-multipleksoril on 1 väljund. 10. Millist liiki sisendid on multipleksoril? Multipleksoril on juhtsisendid ja andmesisendid. 11. Kuidas on omavahel seotud multipleksori juhtsisendite ja andmesisendite arv? N-multipleksoril on n juhtsisendit ja andmesisendit. 12. Milline on lihtsaim multipleksor? Kui palju sisendeid tal on? Lihtsaim multipleksor on 1 multipleksor, millel on 1 juhtsisend ja andmesisendit. 13. Millise loogikaavaldiste teisendusmeetodiga on multipleksorskeemide koostamine seotud?...

Diskreetne matemaatika
139 allalaadimist
thumbnail
12
pdf

Mikroökonoomika 3 KT vastused

Piisaski sellest, kui olite üldkujul kirjeldanud, et mida käesoleva ülesande kontekstis see tootmisfunktsioon tähendada võiks. b) Selgita, kuidas sellise tegevusega on seotud kahanev tulukus. Eeldame, et käesolevas ülesandes kirjeldatud tootmise seisukohast on näiteks tööjõud muutuv sisend ja maa ning kapital on fikseeritud sisendid . Sellisel juhul kasutame kahaneva tulukuse selgitamiseks tööjõu piirtoodangu (mõnes õppevahendis ka piirprodukt) mõistet. Tööjõu piirtoodang iseloomustab, kuidas muutub ∆𝑄 tootmismaht kui muutub tööjõu hulk: 𝑀𝑃𝐿 = ∆𝐿 Kui lisanduva töölise piirtoodang ületab eelmise töölise piirtoodangut, saab rääkida kasvavast piirtootlikkusest...

Mikro- ja makroökonoomika
305 allalaadimist
thumbnail
28
docx

ORGANISATSIOONIKÄITUMISE EKSAMIKÜSIMUSED 2015

Organisatsiooni mõiste. Organisatsioonikäitumise mõiste. Organisatsioon on teadlikult koordineeritud sotsiaalne ühendus, mis koosneb kahest või enamast inimesest ja funktsioneerib suhteliselt katkematult, et saavutada ühiseid eesmärke või neid püstitada. Organisatsiooni mõistet on defineeritud mitmeti. Lihtsaima käsitluse põhjal on organisatsioon mingi erilise eesmärgi saavutamiseks organiseerunud inimrühm. Kui üks inimene ei tule oma ettevõtmisega enam toime, kaasab ta teisi inimesi, et saavutada oma eesmärki ühiste jõupingutuste tulemusena. Nii kujuneb välja organisatsioon. Organisatsioon võib olla ükskõik milline asutus või ettevõte, samuti valitsus, kool, ühing jne. Organisatsiooniline käitumine on õpetus inimeste ja rühmade käitumisest organisatsioonis, milles püütakse määratleda efektiivsema tegutsemise teid. Organisatsioonilise käitumise eesmärgid on 1) kirjeldada,...

Organisatsioonikäitumine
85 allalaadimist
thumbnail
36
doc

MINA JA MAJANDUS

Ettevõtja on isik, kes tegutseb äris kasu saamise eesmärgil ja kannab sellesse ärisse tehtud isiklike investeeringute kaotamise ris See küsimus on nii ettevõtte kui ka riigi vaatenurgast elulise tähtsusega. Tundub, et vastus on lihtne – tuleb toota neid kaupu ja teenuseid, mis rahuldaksid tarbijate vajadusi ja mille eest nad on nõus maksma. Joonis 3. Tootmisprotsess. SISENDID TOOTMINE VÄLJUNDID Maa tooted Töö(tööjõud) Mida? teenused ja info Kapital Kuidas? saaste, jäätmed Ettevõtlikkus Kellele?...

Majanduse alused
24 allalaadimist
thumbnail
148
docx

NEUROPSÜHHOLOOGIA

See on väga hea ajule  Trakt ehk juhtetee. Taalamus võtab sensoorse info vastu ja saadab edasi nt posttsentraalkääru. pärast Neuropsühholoogia sissejuhatus ja sensoorne süsteem sporti vabanevad endorfiinid ja siis tunneme end hästi. TEE SPORTI! Aju loob kogu aeg seoseid. Kui aju ei kasuta, siis ta hakkab ühendusi ära kustutama jne. *PAROKAMBER* -ruum, kus rõhuga surutakse CO hemoglobiiniküljest ära. Geneetikal ka suur tähtsus ja ka kogemused, positive elamus jne, mis elu jooksul (eriti 3 KÜSIMUSJÄRGMISEKS KORRAKS:? Milline sensoorse süsteemi osa viib sensoorse info esimese a jooksul) saame.Kõik saab alguse meie ajutööst. Aju...

Psühholoogia
258 allalaadimist
thumbnail
22
docx

Makroökonoomise teoria küsimused (konspekt)

Rahvatulu 1. Tootmistegurid on sisendid , mida kasutatakse toodete ja teenuste valmistamisel. 2. Tootmistegurite hind näitab kui palju tuleb vastava tootliku ressursi ühiku eest maksta. 3. Kui firma palkab tööle täiendava töötaja, jättes samal ajal kapitali koguse muutumatuks, näitab toodangu muutust tööjõu piirprodukt MPL 4. Tootmisfunktsioon näitab matemaatiliselt, kuidas tootmistegurid määravad toodetud hüviste hulga. 5. Kui kahekordistunud tööjõu ja kapitali hulga kasvul ka toodang kahekordistub, on tegemist konstantse mastaabiefektiga 6. Täieliku konkurentsi tingimustes palkavad kasumit maksimeerivad firma tööjõudu seni, kuni töötaja piirprodukt MPL võrdub reaalpalgaga. Firmad rendivad aga kapitali seni kuni kapitali piirprodukt MPK võrdub reaalse rendiga (MPK=R/P) 7. Täieliku konkurentsi turul tegutsevate firmade tööjõu nõudlus on määratud võrrandiga, kus tööjõu piirprodukt võrdub reaalpalgaga 8. Nn arvestuslik kasum sisaldab ni...

Makroökonoomika
126 allalaadimist
thumbnail
36
pdf

Juhtimise alused konspekt

Planeerimine ja Organiseerimine otsustamine (kohustuste, (eesmärkide õiguste ja püstitamine ja vastutuste Keskkonnast kindlaks kindlaks tulenevad määramine, tegemine) sisendid : kuidas neid Eesmärgid:  Tööjõud saavutada)  Säästlikus  Raha  Tehno-  Efektiivsus loogia Kontrollimine Eestvedamine  Oskus- (saadud tulemuste (inimeste teave...

Juhtimise alused
59 allalaadimist
thumbnail
68
doc

Digitaaltehnika

x2 f14  x1 x2 x1 f14 Shefferi tehe e. konjunktsiooni inversioon NING-EI 1110 Väljundis on 0 kui kõik sisendid on 1 f14  x1 gx2 & Y x2 f15 Konstantne 1 1111 Väljundis on alati signaal 1 f15=1 2.4. Loogikaseadused 1...

Digitaaltehnika
18 allalaadimist
thumbnail
16
docx

PROJEKTIJUHTIMISE TEEMA – PROJEKTI PLANEERIMISE ANALÜÜS

Vältima peaks ka kordusi (kasutada siseviiteid) ning kahemõttelisi väljendeid. Tekst peaks olema konkreetne ja sisutihe. Mida selgem ja informatiivsem väljendus, seda täpsem pilt tekib projektist. Töö hindamisel on projektijuhil kasulik analüüsida, kas valminud plaan täidab järgmisi tingimusi: ülesande ja eesmärgi püstitus on selge ja realistlik; projekti sisendid ja teostusviis on selgelt fikseeritud ning juhinduvad ülesandest ja eesmärkides; projektil on selge ja realistlikult koostatud ajagraafik; tegevused ja vastutus on selgelt jaotatud; projekti juhtimissüsteem on selgelt määratletud. (Salla, 2007, lk 42) Projekti eeskujulik teostus pole võimalik kui projektiplaan on puudulik. Ühelt poolt võib ebarealistlik või puudulik plaan olla tingitud ebaselgest tulemuse kontseptsioonist või...

Juhtimispsühholoogia
27 allalaadimist
thumbnail
42
docx

Skeemitehnika I kordamisküsimused

CMOS loogikaelementide (inverter, NING-EI ja VÕI-EI) elektriskeemid transistoridel (piisab kahest sisendist). Inventer ehk EI element. Koosmeb kahest järjestikku ühendatud eri tüüpi kanaliga väljatransistorist. vdd-toide NING-EI (NAND) – realiseerib konjuktsiooni eitust. Väljund on kõrgel nivool siis, kui vähemalt ühe sisendi nivoo on madalal ja vastavalt väljund on madalal nivool, kui kõik sisendid on kõrgel nivool. Valmistatakse kuni kaheksa sisendiga loogikaelemente. VÕI-EI – realiseerib disjunktsiooni eitust. Väljund on madalal nivool siis, kui vähemalt ühe sisendi nivoo on kõrge ja vastavalt on ta väljund kõrge nivool, kui kõik sisendid on madalal nivool. Kahe sisendiga skeem koosneb neljast transistorist. 10. Asünkroonsed trigerid (olekutabelid, skeemi tingmärgid). Triger- elementaarne mäluelement 1 biti hoidmiseks. On kahe püsitasakaaluseisundiga lülitus...

Skeemitehnika
26 allalaadimist
thumbnail
54
doc

Süsteemiteooria kordamisküsimused

kontrolltöö kordamisküsimused 1. Süsteemi mõiste- Süsteem on omavahel seotud objektide terviklik kogum. Süsteemi mõiste komponendid on element/objekt (süsteemi osis, mida käsitletakse süsteemi suhtes jagamatuna, tervikuna), sidemed (mistahes laadi seosed elementide vahel, mis võivad olla orienteeritud, vastastikused, muutlikud, juhuslikud jne) ning terviklikkus (võib tähendada elementide koosluse täielikkust, mõtestatust, teatavat ühtset sihipära, eesmärki, otstarvet, naabruslikkust, kokkuseotust jne, s.o põhjust või võimalikkust vaadelda teatavat kooslust süsteemina, võimaldab süsteemi vaadelda ka jagamatu tervikuna ja samas ümbrusest eristuvana). Süsteemi põhiomadusteks on struktuuri- ja käitumisomadused. Süsteemid võivad olla füüsikalised, bioloogilised, sotsiaalsed, mõttelised, abstraktsed, algoritmilised jne.B. R. Gaines'i paradoksaalse süsteemi definitsiooni järgi...

Süsteemiteooria
189 allalaadimist
thumbnail
30
doc

Uurimistöö vormistamine

Kõik pealkirjad peavad olema lühikesed, lakoonilised ja vastama sisule, pealkirjaks ei sobi küsi- ega hüüdlaused. Sõnade poolitamine pealkirjas ei ole soovitatav. 3.1.3. Loetelud Kui loetelu koosneb üksikutest sõnadest või lühikestest fraasidest, kirjutatakse loetelu punktid üksteise järele ja eraldatakse komaga. Näide: Tootmisprotsessi sisendid on töö, kapital, maa. Kui loetelu punktideks on laused, siis võib need kirjutada üksteise järele või alustada iga lauset uuelt realt. Loetelus kasutatakse nummerdamist tavaliselt siis, kui on oluline nende järjekord või arv, kui tekstis mõnele neist viidatakse või kui loetelu punktid koosnevad mitmest lausest. Numbri või tähe järel on ümarsulg, alustatakse väiketähega ja laused eraldatakse üksteisest semikooloniga. Näide: Riskid, millega tuleb ettevõtjal arvestada:...

Eesti keel
13 allalaadimist
thumbnail
34
docx

Sissejuhatus filosoofiasse

00.001 SISSEJUHATUS FILOSOOFIASSE (3 EAP) 2014/2015 kevad ST 1.-2. LOENG: SISSEJUHATUS + FILOSOOFIA JA MUU 1. Sõna „filosoofia“. Mõiste etümoloogiat (sõnade päritolu õpetus; sõna algupära). Koolkonniti erinevused. Filosoofia sõna: kr.k. phileo- armastan ja sophia- tarkus. Sõna „filosoofia” esmatarvitus ei ole väga selge, u. 5-4. saj. e.m.a. kasutas Herodotos seda oma töödes. Esimeseks filosoofiks peetakse Thalest (ca 624-ca 546 e.m.a). Tuleb kr keelsetest sõnadest: philein, phileõ – armastama ja sophia – tarkus. Filosoofia sõna: kr.k. phileo- armastan ja sophia- tarkus. Ka Pythagoras ja Sokrates on nimetanud end tarkusearmastajateks. Filosoofia mõiste: 1) „Distsipliin, mis uurib maailma kõige üldisemaid ja abstraktsemaid tunnuseid ning meie mõtlemise kategooriaid nagu vaim, mateeria, mõistus, tõestus, tõde jne. Filosoofia võtab uurimise alla mõisted, mille abil me maailmale läheneme.“ S. Blackburn 2) „Filosoofiaks me võime nimetada...

Filosoofia
87 allalaadimist
thumbnail
26
docx

IAF0041 eksamipiletite vastused: mälud ja trigerid

Sünkroniseeritud infosisend toimub hetkel, mil saabub sünkroniseerimissignaal) 2. POOLJUHTMÄLUD 1) Mittesäilivad ­ vajavad andmete ,,meeles pidamiseks" pidevat voolu, seadme väljalülitamisel kaovad neis sisalduvad andmed. a) Staatiline RAM (SRAM) ­ koosneb trigeritest vm pos. tagasisidega elementidest. Kasutatakse protsessoris töötsüklite ajal vajaminevate andmete säilitamiseks. Sisendid on aadressisisendid ,,Chipselect", ,,Output enabled", ,,Read/Write" ja väljundiks data väljund, kust väljutatakse nõutud andmed. Andmed säilivad kuni eksisteerib pidev toide. b) Dünaamiline RAM (DRAM) ­ koosneb mälumaatriksist, mille küljes rea ja veeru aadresside puhvrid, seega mäluaadress edastatakse tegelikkuses kahe osana. Andmed tuleb u 2ms jooksul refreshida, vastasel juhul imbub transistoritest laeng välja ja andmed hävivad....

Arvutid
17 allalaadimist
thumbnail
10
docx

"Integraallülitused", seletav kokkuvõte.

Integraallülitusele rakendatakse pinge 14. klemmile ja maandatakse 7. klemmilt. 4011 integraallülitus koosneb neljast väravast (vt. Joonis 1). Värava tüüpi näitab selle tingmärk. Sel puhul on tingmärgiks NAND (Not And). Input'i ehk sisendi poolt on ruut ja output'i e. väljundi poolt on ümar ning otsas väike ring. See tähendab, et see on NOT AND värav inverteeritud väljundiga. Kui mõlemad sisendid (nt. A1 ja B1) on kõrged (high), siis väljund (Q1) ei ole (NOT) ,,kõrge" vaid on ,,madal". Võimalikud on neli erinevat varianti (vt. tõetabelilt Joonis 2). ,,Kõrge" (high) tähendab, et sisendile rakendatud pinge on enam kui pool pingeallikast avalduvast pingest. ,,Madal" (low) tähendab, et sisendile rakendatud pinge on vähem kui pool pingeallika pakutavast pingest. Näiteks: Pingeallikas on 9-Volti, siis kõik mis jääb ülespoole...

Elektroonika alused
19 allalaadimist


Sellel veebilehel kasutatakse küpsiseid. Kasutamist jätkates nõustute küpsiste ja veebilehe üldtingimustega Nõustun