Vajad kellegagi rääkida?
Küsi julgelt abi LasteAbi
Logi sisse
Ega pea pole prügikast! Tõsta enda õppeedukust ja õpi targalt. Telli VIP ja lae alla päris inimeste tehtu õppematerjale LOE EDASI Sulge

"sisendid" - 301 õppematerjali

sisendid on kas valesti kombineeritud või neid raisatakse (X-ebaefektiivsus). 149. Kas avaliku sektori ebaefektiivsus tuleneb tootmise sisestest või tootmisvälistest põhjustest? Tootmisvälistest põhjustest.
thumbnail
4
docx

Sisendid ja tootmine

6. Sisendid ja tootmine Lk 124- 146 6.1 Sisendid ja toomisfunktsioon Lk 124-128 Tootmisprotsessi sisendid ­ ressurssid ehk tootmistegurid, mida tootja kasutab, et kaupa või teenust toota. Sisendid kitsamad tähenduses ­ hooned, masinad, seadmed, gaas, vesi, ohutusseadmed jne Sisendid laiemas tähenduses ­ maa, töö, kapital, ettevõtlikus Tootmisprotsessi väljund ­ koguprodukt (total product TP) ­ kaupade ja teenuste koguhulk (Q), mis on valmistatud teatud aja jooksul. Tootmisfunktsioon väljendab sisendite ja väljundite omavahelist seost ja näitab maksimaalset

Majandus → Micro_macro ökonoomika
170 allalaadimist
thumbnail
6
pdf

Sisendid ja tootmine

17.02.2014 MIKRO- JA MAKROÖKONOOMIKA EPJ0100 Sisendid ja tootmine ÜLDISED PÕHIMÕTTED Firmateooria ­ uurib pakkujate (ettevõtete) käitumist turul; Ettevõte ­ organisatsioon , mis ühendab endas erinevad tootmisressursid (nagu tööjõud, maa, kapital), et toota tooteid või teenuseid. Ettevõtlus ­ seadusega kooskõlas olev majandustegevuse vorm, mille põhiliseks eesmärgiks on tavaliselt kasumi teenimine. Ettevõtete ülesannete hulka kuulub näiteks: ühiskonna erinevate vajaduste rahuldamine;

Majandus → Mikro ja makroökonoomika
18 allalaadimist
thumbnail
12
doc

Rakenduslik süsteemiteooria - konspekt

Väliskeskkond – süsteemi S väliskeskkonnaks on kõik see, mis ei kuulu süsteemi S. Avatud süsteem – süsteem, mis on seotud väliskeskkonnaga. Väliskeskkond mõjutab süsteemi ja vastupidi. Suletud süsteem – süsteem millel ei ole seoseid väliskeskkonnaga. Süsteemi sisenditeks (sisendelementideks) on need süsteemi elemendid, milliseid vaadeldakse kui algressursse, algmaterjale, lähtesuurusi, algandmeid või -põhjuseid. Sisendid on süsteemi sõltumatud muutujad. Sisendid võivad olla mittejuhitavad või juhitavad. Süsteemi väljunditeks (väljundelementideks) on need elemendid, milliseid vaadeldakse kui tegevuse tulemusi või tagajärgi. Väljundid on süsteemi sõltuvad muutujad. Süsteemi operaatoriks (protsessiks, funktsiooniks) nimetatakse eeskirja, algoritmi, tehnoloogiat, protsessi või funktsiooni, mille põhjal süsteemi sisendite alusel saadakse süsteemi väljundid.

Energeetika → Energia ja keskkond
25 allalaadimist
thumbnail
24
doc

Tootmise planeerimine

Loengukonspekt õpperühm XX Õppejõud: XX TOOTMISE PLANEERIMINE (TU10) 2 Tallinn 2013 TOOTMISE PLANEERIMINE (TU10) 3 SISSEJUHATUS OPERATSIOONIJUHTIMISSE 1. OPERATSIOONIJUHTIMISE OLEMUS Toodete tootmine ja teenuste osutamine kui tegevus koosneb operatsioonidest. Sooritatavate operatsioonide arv võib olla väga erinev: see oleneb tegevuse keerukusest ja mahust. Operatsioonide ülesandeks on muuta protsessi sisendid tarbijale vajalikeks väljunditeks, suurendades nende väärtust. Operatsioonide juhtimist (operations management) võib defineerida kui tegevust, mis on seotud tootmis- ja teenindussüsteemide väljatöötamise, kasutamise ja täiustamisega. Operatsioonijuhtimise protsessi kaudu toimub piiritletud süsteemis ressursivoogude kombineerimine ja muundamine kontrollitaval viisil, tekitades lisaväärtust. See tegevus

Logistika → Logistika alused
48 allalaadimist
thumbnail
18
docx

Täissummaator

11.2013 Tallinn 2013 1 Ülesande lahenduskäik ja selgitus 1.1 Andmevookirjeldus Kõige pealt teen XOR tehted kolme sisendiga, milleks on a, b ja c_in. Nende tulemusena saan kätte y väärtuse. Seejärel arvutan ülekande, milleks on c_out. Joonis 1 peal on valem, millega arvutatakse c_out. Joonis 1 ülekdande arvutamine. Co vastab programmis c_out. 1.2 Käitumuslik kirjeldus IF-ELSE lausega Esiteks kontrollin, kas sisendid a ja b on võrdsed. Kui sisendid a ja b on võrdsed, siis arvutan välja ülekande, milleks on c_out ja tehteks on XOR tehe, milles kasutan sisendeid a ja b. Lisaks väärtustan väljundi nulliga, milleks on y. Kui sisendid a ja b ei ole võrdsed, siis väärtustan väljundi y nulliga. Järgmiseks kontrollin, kas sisend c_in on võrdne väljundiga y. Kui need on võrdsed, siis kontrollin, kas sisend c_in võrdub nulliga. Kui võrdub, siis väärtustan ülekandele c_out väärtusega 0

Informaatika → Digiloogika
14 allalaadimist
thumbnail
86
ppt

Projekti kavandamine

Üldine eesmärk Otsene eesmärk Väljundid R: tegevusi ei viida läbi planeeritud ajagraafiku järgi Tegevused E: motiveeritud lapsevanemad R: huvi puudus Sisendid E: toimiv meeskond E: hästi koostatud rahataotlus R: mittetoimiv 2 meeskond Marju Medar Projekti Projektimeeskond kavandamine Üldine eesmärk • Üldine eesmärk on kaugem siht (5-10

Ühiskond → Ühiskond
11 allalaadimist
thumbnail
4
pdf

Jadaloendur

1 23 1 22 0 21 0 20 8 4 0 0 12 Skeem. Töö põhimõte. Antud elektroonika skeem koosneb neljast JK trigerist (JK flip-flop), neljast valgusdioodist (LED probe), lülitist (switch), takistusest (resistor), maandus (ground), 4 sisendilisest NING lülitusest ja vooluallikast (VCC). Jadamisi ühendatud JK triger koosneb 5'st sisendist (set, reset, J, K ja clock) ja 2'st väljundist Q ja inverteeritud Q ( Q ). Triger töötab valemi põhjal: Q järgmine J Q K Q Meie sisendid J ja K on alati väärtusega 1, andes signaaligeneraatoriga sisendimpulsse hakkavad väljundid Q ja Q vaheldumisi töötama. Triger kannab edasi tõusva positiivse signaali korral väärtuse 1 läbi väljundi Q (indikaator elementi), langeva negatiivse signaali korral kandub väärtus edasi läbi väljundi Q järgmisse trigerisse (CLK sisendisse), mis omakorda kordab esimese trigeri tööprotsessi

Informaatika → Sissejuhatus...
78 allalaadimist
thumbnail
7
doc

Projekti kavandamine

hindamiseks. Tegemist on süsteemse lähenemisega, mis selgitab välja püstitatud eesmärkide saavutamiseks vajalikud toimingud. Selles protsessis näitab LogFrame'i meetod kätte tasandite vahelised loogilised seosed ning määratleb eelduste ja riskide kaudu tingimused, mille juures probleemi lahendamisse on võimalik sekkuda. Sekkumisloogika sõltub projekti otstarbest ning sätestab projekti üldised eesmärgid, väljundid, tegevused ja sisendid. LogFrame'i meetod pakub ka standardse mudeli seoste esitamiseks. Loogiline maatriks Loogiline maatriks kirjeldab sekkumisloogikat tabeli vormis. Projekti kavandamine Loogiline maatriks Sekkumis- Saavutamise Kontrolli Eeldused ja Kirjeldus loogika indikaatorid meetodid riskid ÜLDINE EESMÄRK

Haldus → Projektijuhtimine
290 allalaadimist
thumbnail
2
docx

Maasikataim kui süsteem

Maasikataim kui süsteem Stiina Vard G1 A Sisendid: Väljundid: Süsihappegaas Hapnik Valgus Maasikad (vili) Soojus Õietolm Vesi Lehed

Geograafia → Biogeograafia
1 allalaadimist
thumbnail
4
pdf

Laboratoortöö 1

Loogikavõrrandit kirjeldava skeemi (joonis 2) saamiseks vajutatakse klahvil. Joonis 1: Logic Converter Loogikavõrrandi minimeeritud skeem on joonisel 2, mis kirjeldab antud minimeeritud võrrandit graafiliselt. Joonis 2: Minimiseeritud loogikavõrrandi skeem Sõnageneraatoriga saab testida loogikavõrrandit. Selleks tuleb sõnageneraatorisse numbrid 1-9 ja tähed A-F, loogikavõrrandi sisendid ühendada sõnageneraatori (joonisel 2 XWG1) ja loogikaanalüsaatoriga (joonisel 2 XLA1) ; testimisprotsessi käivitab astmeliselt nupp Step. Joonis 3 Sõnageneraator ja loogikageneraator Loogikaanlüsaator (joonis 3) näitab graafiliselt, milliste sisendite korral on väljund 1. Järeldus Olekutabelist (Tabel 1) on näha, et olekute B, C, E ja F korral on väljund 1. Tabel 1 Olekutabel

Informaatika → Mikroprotsessortehnika
46 allalaadimist
thumbnail
4
doc

7 – segmendilise indikatsioonielemendiga 19 nd jadaloendur

Joonis 2. Loenduri skeem Tööpõhimõte Impulssgeneraator genereerib impulsse. Trigeri väljundis Q tekib impulss, mis läheb seitsmesegmendilisse indikaatori esimesse sisendisse. Iga kahe sisendiimpulsi järel lülitub trigeri väljund korraks sisse ja välja, see tähendab tema väljundiimpulsside muutumise sagedus on kaks korda väiksem kui sisendimpulssidel. Reset rakendub siis, kui ning elemendi sisendid on kõik ühed. Sisendid on valitud vastavalt koodi järgi (10010). Tabel 1. Arv 19 leidmine 2nd süsteemis. T5 T4 T3 T2 T1 Trigerid 16 8 4 2 1 2 astmes 0-3

Informaatika → Mikroprotsessortehnika
46 allalaadimist
thumbnail
16
docx

Liitja/lahutaja

5.1. xor3 = T_SUB + B_TB(3) = 0 + 0 = 0 5.2. Y(3) = A_TB(3) + xor3 + carry(2) = 0 + 0 + 0 = 0 5.3. C_OUT_TB = (A_TB(3) * xor3) + (carry(2) * (A_TB(3) + xor3)) = = (0 * 0) + (0 * (0 + 0) = 0 Vastuse välja lugemiseks on vaja: C_OUT, Y(3), Y(2), Y(1) ja Y(0). C_OUT = 0, Y(3) = 0, Y(2) = 0, Y(1) = 0 ja Y(0) = 1. Vastus on 00001. Signaal TEST_NR näitab, mitmes liitmistehe teatud ajal tehakse. Hetkel tehakse number 6 tehe. Joonis 3 Liitmise sisendid Joonisel 4 on näha liitmise simulatsiooni. Signaalid on järjestatud ülevalt alla: A_TB, B_TB, C_IN, Y_TB, C_OUT_TB, T_SUB ja TEST_NR. Kõik numbrid on kümnendsüsteemis. Hetkel tehakse joonis 3 peal tehe 0 + 0 + 1, mille tulemuseks tuleb 1. Joonis 4 Liitmise simulatsioon 2.2 Lahutamine Joonis 5 peal on näha 4-biti lahutamis tehte sisendeid. Sisendid on A_TB, B_TB, C_IN_TB ja T_SUB. A_TB ja B_TB on 4-bitised, C_IN_TB ja T_SUB on 1-bitised

Informaatika → Digiloogika
18 allalaadimist
thumbnail
44
docx

Operatsioonijuhtimine eksami vastused

Operatsioonistrateegia ja taktika – kuidas toodame, milliste ressurssidega 3. Operatsioonisüsteemi arendamine ja juurutamine (tootmisprotsess, tootmisvõimsus, asukoht, tootearendus, standardid, töökorraldus..) 4. Süsteemi talitluse planeerimine ja kontroll plaanide täitmise üle (varude juhtimine, tarneahela juhtimine, tootmisplaanid, kvaliteedikontroll..) 5. Kvaliteedi tõstmine (kvaliteedijuhtimine, protsesside parendamine, riskijuhtimine..)  Operatsioonisüsteemi sisendid ja väljundid. Muudetavad sisendid: •Materjal – kasutavad tootmisettevõtted; jaekaubandus; posti- ja transpordiettevõtted, laoteenuste pakkujad. •Info – raamatupidamisteenuse pakkujad; turu-uuringute ettevõtted; finantsanalüütikud; telekommunikatsioon (uudised) •Klient – võib olla samuti sisendiks, näit teenindus: juuksur, hotell, haigla, hambaarst, teater, takso ja buss (muudab kliendi asukohta).

Majandus → Operatsioonijuhtimine
149 allalaadimist
thumbnail
5
doc

Automaatika Alused

Kõiki tegureid, mis mõjuvad elemendile ning põhjustavad tema olekus muudatusi nimetadakse sisendsuurusteks (x). Suurused, mis iseloomustavad elemendi olekut ja mis tekivad sisendsuuruste tõttu, nimetame väljundsuurusteks (y). Kõikide ARS elementide väljundsuurused olenevad sisendsuurustest, mitte vastupidi. Elemendi staatilisestest omadustest on näha, kuidas on väljund sõltuvuses sisendist staatilises reziimis. Staatilises reziimis on elemendi sisendid ja väljundid konstantsed. Staatiliseks ülekandeteguriks (k) (võimendusteguriks) nimetadakse elemendi väljundi ja sisendi suhet staatilises reziimis. Staatiline ülekandetegur on dimensioonita kui väljund ja sisend on ühesuguse füüsikalise iseloomuga. Vastasel juhul esineb dimensioon. Staatilise ülekandeteguri saab leida valemist . Kui staatiline karakteristik on sirge, siis on tegemist lineaarse objektiga, kui

Masinaehitus → Automaatika alused
139 allalaadimist
thumbnail
1
odt

Mikro-ja makroökönoomika - tööhõive, hinnad

Majandusõpetus e. Ökonoomika on teadus, mis uurib majanduse arenemist ja üldist seaduspärasusi kuidas majanduses osalejad teevad valikuid piiratud ressursside ja piiramatute vajaduste vahel. Majanduses osalejad on kodumajapidamised(inimesed,pered ­ kellele kuuluvad algselt peamiselt ressursid), ettevõtted ja valitsus(riik) Ressurss ­ tootmistegur. I Esmasne e. Primaarne MAA- hõlmab kõike loodusest saadavat(maavarad,loodusvarad,loomad,maapind) --> rent TÖÖ ­ füüsiline või vaimne pingutus, mida tehakse kaupdae ja teenuste toomiseks. --> palk II Sekundaarne KAPITAL ­ hooned, seadmed, masinad --> intress ETTEVÕTLIKUS ­ omadus(aktiivsus,suhtlemisoskus,töökus,riskijulgus,lai silmaring, nutikus) --> kasum Mikroökönoomika uurib majanduse üksikosalejate(kodumajapidamised, ettevõtted) valikuid. Makroökönoomika uurib majandust kui tervikut. Sisend...

Majandus → Majandus
75 allalaadimist
thumbnail
6
docx

Automaatika alused

Tallinna Tehnikaülikool Automaatika alused Kodutöö aruanne 07.04.2015 Automaatika kodutöö aruanne Lähteülesanne Ülesanne on põhineb veesüsteemi automaatikal. Vesi hakkab käte kraani alla panemisel jooksma, kui ei esine takistusi. Takistusteks on ummistus ja külma või/ja sooja vee puudumine. Kui esineb vähemalt üks takistustest (kuuma või/ja külma vee puudumine või ummistus), saadab süsteem häire välja, et saaks seda likvideerida. Kui süsteem saab signaali käed, käivitub taimer ja vesi hakkab jooksma. Kui taimeri aeg on läbi saanud peatab süsteem vee jooksmise. Käte uuesti liigutamisel hakkab vesi uuesti takistuste puudumisel jooksma. Kui käed eemaldada, siis taimer lõpetab lugemise ja vesi lõpetab jooksmise. Sisendid I1-Käed, käte kraani alla panemine I2-Ummistus, kraanikausis liiga palju vett I3-Olemas külm vesi I4- Olemas soe vesi Väljundid Q1-Vesi jookseb, kraan avaneb, ...

Tehnika → Automatiseerimistehnika
7 allalaadimist
thumbnail
4
xls

Konspekt

Süsteem Managers Central Risk Unit Sisendid Protsessid Väljundid Tagasiside Möödaühendus Mudel wordi tõestuseks Globaalne 1. Globaalne 2. Euroopaliit 3. Eesti Vabariik 4. Kohalik omavalitsus Eesti Vabariik Euroopaliit 5. Organisatsioon 6. Indiviid (pere) Kohalik o.v Indiviid inimene tehnika Inimene Tehnika Keskkond Inimene inimene inimene inimene tehnik...

Meditsiin → Riski- ja ohuõpetus
145 allalaadimist
thumbnail
17
docx

Digielektroonika

terve paketi Loogikalülitused PUHVER Puhvereid kasutatakse valdavalt binaarse signaali kuju korrigeerimiseks ja siis kui on tarvis sama signaali jagada paljudele sisenditele. Samuti kasutatakse ka andmete edastamisel piki siini. SIIN - ühine andmeedastuskanal. Jaotatakse: 1. sisendsiine - ühe loogikalülituse väljundilt loevad andmeid mitu loogialülitust (kasutatakse harva kuna enamasti võib ühele väljundile ühendada mitu sisendid paralleelselt) 2. väljundsiine - ühe loogikalülituse sisendile edastavad andmeid mitu loogikalülitust (väljundeid ei saa lülitada paralleelselt; neid tuleb siinile lülitada ühekaupa) 3. kahesuunaline siin - selline andmekanal, mida kasutatakse vaheldumisi kas andmete vastuvõtuks või saatmiseks (enamlevinud) Seisund Z - puhvri väljundi selline seisundm kus tema väljundi takistus on nii maakontakti kui ka toitekontakti suhtes väga suur

Füüsika → Füüsika
2 allalaadimist
thumbnail
3
xls

Kutuste Segamine ALGUS

58,333333333 8,3333333333 8,3333333333 58,333333333 87,5 6,25 6,25 14,583333333 10000 2500 10000 6666,6666667 5000 20000 10000 6666,6666667 A B C D E F 1 Firma Chandler kütuste segamise mudel 2 3 Rahalised sisendid Bensiin Kütteõli 4 Müügihind/barrel $25,00 $20,00 5 6 Toornaftade kvaliteeditase barreli kohta 7 Toornafta 1 10 8 Toornafta 2 5 9 10 Vajalik kvaliteeditase barreli toote kohta 11 Bensiin Kütteõli 12 8 6 13 14 Segamisplaan (barrelit)

Majandus → Informaatika II
4 allalaadimist
thumbnail
12
docx

Protsessori mudel

registrisse 7. 10:11: : :REG1:REG7: : : : :ADDC: :REG7: : :X:X:X:X:X:X:X:X // Kui ülekanne on 0 (null), siis lõpetatakse programmi töö. 11:END: : : : : : : : : : : : : :X:X:X:X:X:X:X:0 // Kui ülekanne on 1 (üks), siis suurendan registri 6 sees olevat väärtust ühe võrra ja lõpetan programmi töö. 11:END:REG6: : : : :INC: : : :REG6: : : :X:X:X:X:X:X:X:1 2 Tulemused 2.1 Test 1 Joonis 1 Test 1 sisendid Joonis 1 sisaldab nelja sisendi A, B, C ja D väärtustamist vahemikus 0 kuni 15. Hetkel on igale muutujale väärtustatud 15, mille tulemusena on summa 60. Joonis 2 Test 1 programmi visuaalne pool Joonis 2 sisaldab test 1 visuaalset poolt. Registri osas R1 on näha kolme sisendi summast ühte osa, kuna üks registri osa mahutab ainult nelja numbrit. Registri osas R2 on näha viimast sisendit ning registri osas R6 ja R7 on nelja sisendi summa, milleks hetkel on

Informaatika → Digiloogika
9 allalaadimist
thumbnail
1
xls

Reklaamiportfell

A B C D E F G H I 1 Reklaamimudel 2 Märkus: Kõik rahalised väärtused on tuhandetes dollarites ja kõik vaadatavusnumbrid millionites. 3 Sisendid 4 Reklaamide vaadatavus vanuse-soogrupiti 5 Friends MNF Malcolm in Middle Sports Center TRL Live Lifetime movie CNN JAG 6 Mehed 18-35 6 6 5 0,5 0,7 0,1 0,1 1

Majandus → Informaatika II
3 allalaadimist
thumbnail
9
docx

Test vastustega modelleerimine

B Test kestab 10 minutit. Tõmmake ring Teie arvates õige vastuse juures. Õigeid vastuseid võib olla rohkem kui üks. 1. Äriprotsess a a. On tegevuste kogum, mis muundab sisendid väljunditeks b. Ei tohi sisaldada sündmuste-tegevuste tsükleid c. Koosneb ainult sündmustest d. Toimib protsessi enda pärast e. Võib olla ilma ühegi lõppsündmuseta 2. Järgmine protsess on äriprotsess a*,b,c*,d*,f a. Brauseri abil veebiportaalist (näiteks Delfi.ee) uudise lugemine b. Riigihanke läbiviimine c. Südame koormustesti läbitegemine käimislindi peal südame tööd mõõtvate sensoritega d

Informaatika → Modelleerimine
10 allalaadimist
thumbnail
3
doc

Integreeritud tootearendus test 4 vastused

b. müügiprojekt Küsimus 12 Milles seisneb Gantt'i ja PERT'i tehnikate erisus? c. sündmuste oodatavuse kajastamises Küsimus 13 Eristatakse riske projekti kavandamisel ja juhtimisel. Milline loetelus ei kuulu kavandamisega seotud riskide hulka? a. kontrolli ebakompetentsus Küsimus 14 Milline ettevõtte traditsioonilistest tüüpstruktuuridest sobib väikese ja keskmise suurusega ettevõtetele? d. maatrikstüüpi struktuur Küsimus 15 Kui on teada süsteemi sisendid ja väljundid ja otsitakse neid seostavat transformatsiooniprotsessi, siis milline tegevuse nimetus vastab sellele alltoodud loetelus? Vali üks või enam: b. süsteemi süntees Küsimus 16 Millisesse konteksti kuulub järjestus ­ arenda ­ tooda ­ müü? a. turuvajadusest lähtuva tootmise

Majandus → Integreeritud tootearendus
38 allalaadimist
thumbnail
3
docx

Sissejuhatus digitaaltehnikasse, minimeerimine

Loogilise avaldise minimeerimiskäik: Minimeerimisel kasutasin järgnevaid loogikaseaduseid: Olekutabeli saamiseks sisestasin lihtsustatud avaldise Multisimi Logic Converterisse: Joonis 1: Logic Converter Sisendid Väljund a b c d 0 0 0 0 0 0 1 0 0 0 1 0 2 0 0 1 0 1 3 0 0 1 1 0 4 0 1 0 0 0

Energeetika → Energiaarvutus
30 allalaadimist
thumbnail
5
doc

Mikroprotsessortehnika

Tallinna Tehnikaülikool Elektriajamite ja jõuelektroonika instituut Mikroprotsessortehnika Kodutöö Turvasüsteem Üliõpilane: Matrikli number: Kood: AAVB32 Juhendaja: Tõnu Lehtla Tallinn 2009 Ülesanne: on vaja mõelda välja kodu kaitseks mõeldud turvasüsteem, mis signaliseerib teid tuleohu või sissetungimisohu korral heli- ja valgussignaaliga. X=0 Lahendus: andurite ja täiturite arv on esitatud tabelis. Väljundid Nimi Arv Helisignaal Q1 1 Valgussignaal Q2 1 Sisendid Nimi Kontaktide tüüp Arv Suitsuandurid I1 Sulguvad kontaktid 4 Klaasi...

Informaatika → Mikroprotsessortehnika
54 allalaadimist
thumbnail
5
doc

Sissejuhatus digitaaltehnikasse minimeerimine

Skeem Minimeeritud võrrandi alusel koostatud skeem. Lisaks on testimiseks ka sõnageneraator ja loogikaanalüsaator. Joonis 2: Minimiseeritud loogikavõrrandi skeem Testimine Sõnageneraatoriga saab testida loogikavõrrandit. Joonis 3: Word Generator Joonis 4: Logic Analyzer Järeldus Olekutabelist (Tabel 1) on näha, millal on väljund 1. Olekutabel Sisendid Väljund a b c d 0 0 0 0 0 0 1 0 0 0 1 0 2 0 0 1 0 0 3 0 0 1 1 0 4 0 1 0 0 1 5 0 1 0 1 0

Informaatika → Sissejuhatus...
34 allalaadimist
thumbnail
2
docx

III organisatsiooni evolutsioon

Organisatsioonid kui avatud dünaamilised süsteemid: Organisatsioonid kui AVATUD süsteemid: · Sisekeskkond-organisatsioon ise, 8S- on võimelised oma toodangut pakkuma teistele · Mikrokeskkond-mänguväljak koduõuel · Makrokeskkond-tänav ja linn SISEND-ressursidPROTSESS-Tegevuste kogum f-ni täitmiseksVÄLJUND-toode/teenus Teiste süsteemide väljundid Teiste süsteemide sisendid Mikrokeskkond: konkurendid Kliendid SISEKESKKOND Liitlased Tarbijad Regulaatorid Organisatsioonide evolutsioon: Aldrich'i organisatsioonilise evolutsiooni teooria. Organisatsioonid eksisteerivad populatsioonidena, milles toimuvad järgmised evolutsioonilised protsessid: · Variatsioonid · Valik · Säilimine · Võitlus Populatsiooniökoloogia

Majandus → Juhtimine
5 allalaadimist
thumbnail
2
xls

Firma Woodworks raamatukappide tootmiskulude projektsioon

Firma Woodworks raamatukappide tootmiskulude projektsioon $700,00 Sisendid Vajadus ühe raamatukapi kohta Kirss Tamm $600,00 Materjal ruutjalgades 30 30 Tööjõud tundides 16 16 $500,00 $400,00 Puidu maksumus Kirss Tamm Ruutjala maksumus hetkel $7,30 $4,30 $300,00 Eeldatav aastane kasv 2,4% 1,7% $200,00 Tööjõu kulu $100,00 Tööjõu kulu hetkel $18,50 $0,00 Eeldatav aastane kasv ...

Majandus → Informaatika II
3 allalaadimist
thumbnail
4
odt

Sissejuhatus majandusteooriasse

08.09.14 Eamets „Sissejuhatus majandusteooriasse“- elektrooniliselt olemas Seminaridesse registreerimine KT-dele registreerimine KT- paberkandjal materjal lubatud Eksam materjalideta 15.09.14 Mikroökonoomika tegeleb konkreetsete subjektidega Mudeli eeldused : üksik majandussubjekt, subjekt on homo economicus, tegemist on piiratud ressurssidega, tasakaalulahend on parim variant (pakkumise ja nõudluse graafikute ristumispunkt) Turumajandus: turg reguleerib pakkumist ja tootmist, turu reguleerimise signaal tuleb hälbest Turu subjektid: 1) Tarbija- majapidamine- ühine eelarve, ühised tootmisüksused 2) Tootja- nt ettevõte 3) Riik Hetkekasu (sisendid musta kasti (ettevõttesse) ei ole vahetatavad) ja pikaajaline kasu (sisend on vahetatud vähemalt korra) Optimaalsusprintsiip- saada maksimaalne tulemus ja kulutada selleks võimalikult vähe Mida, kuidas ja kelle jaoks toota? Ürg feodaal kapitalistlik infoü...

Majandus → Majandusteooria alused
54 allalaadimist
thumbnail
4
doc

Lähteülesanne

Kuigi lähteülesandel pole ühte kindlat standardit, kirjeldame selles käsiraamatus · Eesmärgid · Aruandlus Phare programmi heade tavadega ühilduvat · Eeldused ja riskid · Monitooring ja formaati. Phare lähteülesande formaat jälgib · Töö ulatus hindamine loogilise maatriksi struktuuri. · Väljundid · Konsultantide · Sisendid profiil Järgnevalt kirjeldame, mida tuleks lähteülesande alapealkirjade all kajastada. · Eelarve Taustinformatsioon Phare CBC/ Siseministeerium/ ESKO Koolitus Nimetada ja kirjeldada peamisi sidusgruppe ning eriti otseseid kasusaajaid. Samuti tuua ära projekti eest otseselt vastutav organisatsioon.

Haldus → Projektijuhtimine
61 allalaadimist
thumbnail
20
docx

IAY0150 - Digitaalsüsteemid praktikumi ülesanne

y1x <= compare_signals (y1a, y1b, y1c, y1d); --võrdleb kõiki y1 tulemusi y2x <= compare_signals (y2a, y2b, y2c, y2d); --võrdleb kõiki y2 tulemusi y3x <= compare_signals (y3a, y3b, y3c, y3d); -- võrdleb kõiki y3 tulemusi y4x <= compare_signals (y4a, y4b, y4c, y4d); -- võrdleb kõiki y4 tulemusi Toplevel entity toplevel is Port ( led : out STD_LOGIC_VECTOR (7 downto 0);---defineerime väljund LEDid sw : in STD_LOGIC_VECTOR (2 downto 0));--defineerime sisendid end toplevel; architecture structure of toplevel is component f_system port ( x1,x2,x3: in std_logic; --defineerime f_system komponendi sisendid k, l, m, n: out std_logic ); --defineerime f_system komponendi väljundid end component; for U1: f_system use entity work.f_system(tabel);--ütleme U1, et kasutaks tõeväärtust for U2: f_system use entity work.f_system(dataflow);--U2 kasutab dataflow-d for U3: f_system use entity work.f_system(behave); --U3 behave for U4: f_system use

Informaatika → Digitaalsüsteemid
28 allalaadimist
thumbnail
22
docx

I mikrökonoomika

· Hind P1, kogus P1. Hind tõuseb P2 kogus x2 vastavalt hinnaefektile, seega nõutav kogus väheneb. · Vebleni efekti tõttu hinna tõustes nõutav kogus kasvab. Nõudluskõver on pos.tõusuga tavaline on neg tõusuga. Nimetatud efektid on maj teooriast lähtudes kõrvalekalded tavaolukorrast, aga nendega on vaja arvestada turusituatsiooni analüüsides. Sisendid ja tootmine Õpik lk 120. · Ressursid- tootmistegurid · Sisendid kitsamas tähenduses-masinad, seadmed, gaas, vesi jne · Sisendid laiemas tähenduses- maa, töö, kapital, ettevõtlikus · Väljund- koguprodukt Tootmisfunktsioon lk 125 · Tootmisfunktsioon väljendab seost väljundi ja sisendi vahel ja näitab maksimaalset kogutoodangut, mida võib toota kindla perioodi jooksul iga antud sisendite kombinatsiooniga. · TP=f (L,K), L- tööjõud K- kapital

Majandus → Micro_macro ökonoomika
507 allalaadimist
thumbnail
24
docx

SISSEJUHATUS MAJANDUSTEOORIASSE

SISSEJUHATUS MAJANDUSTEOORIASS E 5. september 2011 KT'd: 17.10 5.12 Eamets "Sissejuhatus majandusteooriasse" Teadus annab inimestele tõeseid teadmisi. Tõesed on kõik väited, millel pole mõistlikke põhjendatud vastuväiteid. Absoluutsed tõed ­ Päike paistab. majanduses absoluutseid tõdesid pm ei ole. Suhtelised tõed ­ peaaegu kõik tõed majanduses Hüpotees ­ öeldakse, et miski on tõde kindlatel tingimustel Järgneb tõestamine Majandusteooriaks nimetatakse majandus-teaduse osa, mis tegeleb rahvamajanduse kui terviku toimimise üldiste seaduspärasuste uurimisega. Majandusteooria eesmärk on majandusnähtuste ja ­protsesside olemuse ja seaduspärasuste selgitamine ning arengu prognoosimine. Majanduses on kõik andmed veaga. Vea klass ei tohi olla liiga suur. Mudeli viga ei tohi olla suurem algandmete veaklassist. Sisendid -> [ must kast ] -> Väljundid ceteris paribus Vaadeldakse vaid ühe, käesoleval juhul kõige ...

Majandus → Majandus
377 allalaadimist
thumbnail
11
docx

WL Messenger

WL Messenger ühendab endas parima kiirsõnumiside ja suhtlusvõrgud, et saaksite hoida ühendust inimestega, kellest hoolite kõige enam. Andke vestlemise ajal fotod ja videod ühiskasutusse. Pidage kõrglahutusega videovestlust või saatke sõbrale videosõnum. Ning ühenduge oma suhtlusvõrkudega ja vaadake olulisi värskendusi ühest kohast -- Messengerist. Selle versiooni leiab selliselt koduleheküljelt: http://explore.live.com (Windows Live 2010) 5.1 SISENDID JA VÄLJUNDID Sisendid WL Messenger'i kasutamiseks on arvuti või mobiiltelefon, internetiühendus ja veebibrauser. Et WL Messenger'i kasutada, peab kasutajal olema Windows Live ID ehk programmi kasutajatunnus (näiteks ,,Hotmail", kuhu peab ennast registreeruma ning mille tulemusena tekib e-mail'i aadress kujul ,,[email protected]"). Installitavate programmide kasutamiseks on vaja Internetist laadida ka programmid. Väljundiks on võimalus jagada faile, fotosid, videosid, muusikat

Informaatika → Majandusinfosüsteemid
14 allalaadimist
thumbnail
4
doc

Kokkuvõtvad küsimused - Juhtimise alused 2012

teooria, õppiv organisatsioon. INIMSUHETE KOOLKOND ­ töötingimused ja ka töötajatesse suhtumine parandavad töökvaliteeti. Elton Mayo ­ sotsiaalne aspekt juhtimises on sama oluline kui tehniline. Erinevused klassikalisest koolkonnast: töötajatel on vajadused, motiveerimine, töö rikastamine, mitteametlikud suhted. SÜSTEEMIKOOLKOND ­ iseloomustavad entroopia (info määramatuse hulk), sünergia ja allsüsteemid. Sisendid: toore, personal, finantsid, info; Protsess: juhtimine, tootmine; Väljundid: tooted/teenused, kasum/kahjum, töötajate rahulolu. West Churchman ­ organisatsioon kui tervik, terviklik kvaliteedijuhtimine, eesmärgisatatud juhtimine, tasakaalustatud mõõtmismudel. OLUKORRALINE KOOLKOND ­ ühendada olemasolev, mitte asuda uue loomise juurde, juhid ei peaks jälgima kindlaid reegleid, vaid igas olukorras analüüsima põhjalikult välis- ja sisemõjutusi,

Majandus → Juhtimine
144 allalaadimist
thumbnail
30
docx

Andmebaasid I - eksamiküsimused

Andmetüüp (teema 2 ja 5) 9. Kitsendused ja nende võimalik realiseerimine SQL-andmebaasides (teema 2 ja 5) 10. Nimetage relatsioonialgebra operatsioone (teema 3) 11. Virtuaalne relatsioon e. vaade (teema 5) 12. Pädevusala (teema 7) 13. Funktsionaalne allsüsteem (teema 7) 14. Register (teema 7) 15. Kuidas on omavahel seotud pädevusalad, funktsionaalsed allsüsteemid ja registrid? (teema 7) 16. Kontseptuaalne andmebaasi disain. Eesmärk, sisendid, tulemused.(teema 7) 17. Kontseptuaalne andmemudel (teema 7) 18. Mida näitavad andmebaasi operatsioonide lepingud ja milleks neid kasutada? (teema 7) 19. Nimetage teisendusreegleid, mille põhjal saab kontseptuaalsest andmemudelist tuletada esialgse loogilise disaini andmemudeli. (teema 10) 20. Loogiline andmebaasi disain. Eesmärk, sisendid, tulemused. (teema 10) 21. Normaliseerimine (teema 9) 22. Esimene normaalkuju (teema 9) 23. Kolmas normaalkuju ja Boyce/Coddi normaalkuju (teema 9) 24

Informaatika → Andmebaasid
526 allalaadimist
thumbnail
4
doc

Laboratoorne töö nr:1

Baidi parempoolne bitt on aadressiga 0, ning vasakpoolne aadressiga 7. Samuti omab aadressi iga bait. Programmeerimiskeeles STEP 7 kasutatakse andmeid biti-, baidi-, sõna- ja topeltsõnapikkustena. Peale elementaarandmetüüpide võimaldab STEP 7 kasutada ka kompleksandmetüüpe (nt. loendurid ja viivitusahelad). Näidised praktikumist. 1. Plokkprogrammeerimine 2. Käskprogrammeerimine 3. Kontaktprogrammeerimine Kõikidel skeemidel on I124 operatsiooni sisendid ja Q124 on väljundid. Plokkprogrammeerimisel on oluline, et NING elemendis oleks mõlemal sisendil signal ja VÕI elemendil peab olema vähemalt üks signaaliga sisend, sel juhul on ka elemendi väljundil signaal. Käskprogrammeerimisel peab signaal olema mõlemas A sisendis või O-s, sel juhul on signaal ka väljundis Q. Kotnaktprogrammeerimisel peab signaal olema samuti mõlemas ülemises kontaktis või alumises kontaktis, sel juhul jõuab signaal ka väljundisse Q.

Informaatika → Programmeeritavad kontrollerid
114 allalaadimist
thumbnail
3
docx

Objekt Orienteeritud käsitlus vs Traditsiooniline käsitlus

ja kasulikum kasutada. Kindlasti on soosingus objekt - orienteeritud käsitlus, kuna see on tänapäeval laialdaselt kasutuses ja omab avaramaid võimalusi. TRADITSIOONILINE KÄSITLUS Traditsioonilise käsitluse ajalugu ulatub kuuekümnendatesse, mil see alguse sai. Traditsioonilise lähenemis puhul on üheks tähtsaimaks osaks andmevoo diagrammid . Diagrammide põhjal on süsteem jagatud erinevateks loogilisteks osadeks, kus kinnitatakse edaspidised sisendid ja väljundid. Samuti pannakse paika, kuidas süsteemi erinevad moodulid peavad töötama ja koostatakse loogika. Tarkvara loomisel on lahutamatu osa vigade tekkimine. Siinkohal avaldub traditsioonilise lähenemise üks põhiprobleeme. Traditsioonilise lähenemise puhul on vea leidmine ja parandamine ülimalt ressursinõudlik, kuna süsteemi erinevad osad on omavahel seotud. Ühe osa muutmine võib terve võib rikkuda terve süsteemi.

Informaatika → Infosüsteemide analüüs ja...
85 allalaadimist
thumbnail
8
docx

tootmise plaanimise eksamiküsimuste vastused

milline tegutsemisvariantidest on antud olukorras parim ja mille täitmist hiljem kontrollitakse. Kontrollimine on tingimata vajalik. Iga plaani juurde peab alati kuuluma nn. kontrollplaan, mille abil tehakse kindlaks, kas eesmärk üldse saavutatakse.Lähtudes plaanide väljatöötamise tasemest, eristatakse retrograadset (s.t. tava-lisele liikumissuunale vastupidist), progressiivset (s.t. tõusvat) ja vastassuunalist planeerimist. 2. Tootmine (tootmistüübid, tootmismeetodi valik, tootmise sisendid ja väljundid, tootmis protsess, tootmis tsükkel, logistika) Tootmine-väärtuste loomise protsess, mille käigus toimub sisendite muutumine suurema väärtusega väljunditeks. Sisend-operatsiooni jooksul töötlemise kuuluvad tööobjektid (tooraine,kulumaterjalid,info). Väljund-operatsiooni jooksul töödeldatud tööobjektid (pooltooted,valmistoodang). Tootmisprotess- tegevuste kogum,mis peab tagama lähteülesandele vastava valmistoodangu

Muu → Ainetöö
70 allalaadimist
thumbnail
5
doc

Summaator

biti (tegemine on kahe arvude liitmisega, kus iga arv kahendsüsteemis koosneb 3 bitist) kõikides võimalikkes variantides (26=6410=0...6310=000000...1111112). Esimesed 3 väljundsignaali lähevad summatorite A sisenditesse ja ülejäänud 3 B sisenditesse. Joonis 2. Sõnageneraator Loogikaanalüsaator. Joonis 3. Loogikaanalüsaator Järeldus. Kuna oli vaja 3 järgulised kahendarvud liita, valisin kolm summatorelemente. Esimene summaatori sisendid võivad olla ainult andmed, mis on genereeritud sõnageneraatoriga, sest esimesse summatorisse ei tule liita nooremate järkude ülekannet. Seepärast esimeseks summatoriks valisin poolsummaatorit . Iga summaatori väljundiks on arvude summa(SUM), ning ülekanne (CARRY). See ülekanne läheb järgmise summaatori CIN sisendisse. Viimase suummaatori Carry väljund näitab arvude ülekannet peale viimase bitti summeerimist.Kui kahe 3 järguliste kahenadvude summa on juba 4

Informaatika → Mikroprotsessortehnika
41 allalaadimist
thumbnail
1
xls

Otsus tellimuse suuruse kohta koguserabati tingimustes

A B C D E F G H I J K L M N 1 Otsus tellimuse suuruse kohta koguserabati tingimustes 2 3 Sisendid Koguserabati tingimused 4 Ühiku kulu - vt. tabel paremal Alates hulgast Ühiku kulu Alates_hulgast =Mudel!$D$5:$D$9

Majandus → Informaatika II
4 allalaadimist
thumbnail
6
docx

Konspekt digitaalses loogikas

Dekoodril on nii mitu sisendit n, kui mitu kohta on sisendisse antaval kahendarvul. Maksimaalne väljundite arv võrdub kombinatsioonide arvuga 2n. Dekoodreid koostatakse peamiselt NING loogika elementidest. Tegemist on loogika elemendiga, mis muudab rööpkoodi unitaarkoodiks, millel on ainult 1 bitt "1", ülejaanud on "0". Multiplekser on kommutaator, millel on mitu sisendit ja 1 väljund. Sisendid jagunevad infosisenditeks ja juhtsisenditeks, kusjuures infosisendite arv määrab ära juhtsisendite arvu ning vastupidi. Vastavalt juhtsignaalile kommuteeritakse multipleksori väljundisse signaal ühest infosisendist. Kommuteeritavate infosisendite arv on 2n, kus n on juhtsignaalide arv. Järelikult saab kahe juhtsisendiga ehk kahebitilise koodiga kommuteerida 4 sisendit, kolme juhtsisendiga 8 sisendit jne komparaator - võrdleb ühe sisendi signaali teise sisendi ette antud pingega

Informaatika → Mikroprotsessortehnika
16 allalaadimist
thumbnail
22
pptx

Multimeedia arvuti

Mälu taktsagedus: 6.0 GHz Siini tüüp: PCI-Express 3.0 x16 Kaardi profiil: Dual Jahutuse tüüp: aktiivne Maksimum monitoride arv: 6 Ühendused: 2 x DVI-D 1 x DisplayPort 1 x HDMI · Hind 532 (,,Digizone") 8 Helikaart Creative Labs ZXR 24-bit 192 KHz Sound Card Audiokanalid: 5.1 Kiibikomplekti tüüp: Sound Core3D Signaali-müra suhe (SNR): 124dB Siini tüüp: PCI Express Sisendid: 1 x Aux-In 1 x Line-In 1 x Optical In Väljundid: 1 x Center/Sub Out 1 x Optical Out Line-Out · Hind 119,50 (,,Galador Grupp OÜ") 9 Võrgukaart StarTech ST10000SPEX PCI-Express x4 Network Adapter Võrgustandardid: IEEE 802.1Q, IEEE 802.3an, IEEE 802.3ab, IEEE 802.3u, IEEE 802.3az, PCI Express Rev 2.0 Andmeedastuskiirus: 10 Gbit/s Hostiliides: PCI Express

Informaatika → Arvuti õpetus
1 allalaadimist
thumbnail
7
pptx

Projektorid Rivo

Data-Videoprojektorid Click to edit Master text styles Second level Third level Fourth level Fifth level PLC-XU4000 PLC-XU4000 on kompaktses vormis Intelligentne lambi juhtsüsteem muskelprojektor, mis pakub kasutajale Automaatne pildi seadistamine tuntud headuses LCD projektorite Turvafunktsioonid pildikvaliteeti. 4000 ANSI luumenit Projektoril on suurepärane 2000:1 Kontrasti suhe 2000:1 kontrastisuhe, lambi eluiga ulatub kuni XGA resolutsioon 5000 tunnini ja sealjuures on projektori ...

Informaatika → Arvutite lisaseadmed
18 allalaadimist
thumbnail
27
doc

Tarkvara testimist käsitlev juhendmaterjal

...27 1 Lugejaskond ja käsitlusala Antud dokumendi lugejaskonnaks on eelkõige avaliku sektori, kuid laiemalt ka igasuguse tellija-poole IT juhid, kes peavad tagama tellitava tarkvara vastavuse hanke aluseks olevatele vajadustele. Dokument kirjeldab testimise alaliike, eesmärke ja seda, kuidas planeerida ning läbi viia tarkvara testimist kogu projekti vältel tagamaks tulemile esitatud nõuded. Esitatakse testimise planeerimise ning läbiviimise tegevused, rollid ning nende sisendid ja väljundid. Käesolevas dokumendis kirjeldatud tarkvara testimise üldpõhimõtted on sõltumatud tarkvaraarendusmetoodikast, projekti mahust ja omapärast. Käesolev dokument selgitab ka seda, kuidas kohaldada üldist testimisprotsessi erinevate projektide vajadustele, kuna üksikasjad ei pruugi olla otstarbekad kõigis projektides. 2 Kasutatavad mõisted Tarkvara testimine ­ testimisel on mitmeid erinevaid definitsioone kuid üldiselt ja

Informaatika → Informaatika
9 allalaadimist
thumbnail
40
pdf

Eksami konspekt

Väljundeid on üldjuhul 2 QjaQ. Kasutatakse mäluelementidena registrites, loendurites jne. Informatsiooni salvestusviisi järgi jagunevad kaheks: asünkroonsed ­ infot salvestatakse vahetult sisendisse antud signaalidega sünkroonsed ­ võimalik vaid sünkroimpulsi(clock) olemasolul. Sünkroniseerimine ­ kui trigeriga on ühendatud lubav sisend, mille kõrgel väärtusel(1) loetakse sisse uued sisendid ja toimuvad üleminekud, madalal olekul(0) on triger passiivne ja säilitab oma endise oleku. Sõltuvalt tööpõhimõttest ja ehitusest jagunevad trigerid: ühetaktiline ­ puuduseks see, et ei võimalda samaaegselt infot vastu võtta ja edastada kahetak line ­ masterslave, kokku ühendatud 2 trigerit, et sünkroniseerimsel nulli haaramist elmineerida, siseviivitusega, slave lülitub esimesel taktil, master järgneval.

Informaatika → Arvutid i
139 allalaadimist
thumbnail
21
doc

Eksami küsimuste vastused

- Muutunud nõuded töötajate oskustele - Muutunud nõuded lõppkasutajatele · Samm3: eesmärgi väljundite kontroll Kontrolli, kas eesmärgil on veel määranguid. Näiteks: - Litsentsi lepingud - Garantii- ja hoolduslepingud - Lepingud mõnede teiste teenuste pakkujatega · Samm 4: Kirjuta selgelt sõnastatud projekti eesmärk "paremale", millest alates tagurpidi hakata tööde sõltuvuste võrku koostama · Samm 5: Selgita millised sisendid on vajalikud, et "eesmärk" realiseeruks. Kirjuta tööd, mis annavad eesmärgile vajalikud sisendid, eesmärgist vasakule. · Samm 6 Vali üks töö ja küsi millised sisendid peavad sellel tööl olema, et seda tööd alustada ja kirjuta vastavat väljundit andev töö vasakule. · Samm 7 Küsi, kas see on ainus vajalik tegevus, mida on vaja ja pane kirja · Samm 8 Liigu ühte rada pidi tagasi (kuni tänase päevani või eeldusteni, mis juba olemas)

Informaatika → Infosüsteemide projektid ja...
252 allalaadimist
thumbnail
42
docx

Skeemitehnika I kordamisküsimused

9. CMOS loogikaelementide (inverter, NING-EI ja VÕI-EI) elektriskeemid transistoridel (piisab kahest sisendist). Inventer ehk EI element. Koosmeb kahest järjestikku ühendatud eri tüüpi kanaliga väljatransistorist. vdd-toide NING-EI (NAND) – realiseerib konjuktsiooni eitust. Väljund on kõrgel nivool siis, kui vähemalt ühe sisendi nivoo on madalal ja vastavalt väljund on madalal nivool, kui kõik sisendid on kõrgel nivool. Valmistatakse kuni kaheksa sisendiga loogikaelemente. VÕI-EI – realiseerib disjunktsiooni eitust. Väljund on madalal nivool siis, kui vähemalt ühe sisendi nivoo on kõrge ja vastavalt on ta väljund kõrge nivool, kui kõik sisendid on madalal nivool. Kahe sisendiga skeem koosneb neljast transistorist. 10. Asünkroonsed trigerid (olekutabelid, skeemi tingmärgid). Triger- elementaarne mäluelement 1 biti hoidmiseks. On kahe püsitasakaaluseisundiga lülitus

Informaatika → Skeemitehnika
26 allalaadimist
thumbnail
3
docx

Modelleerimine - 1 KT

Modelleerimine 1 KT 1. Mis on mudel? Mõne reaalse elu sündmuse/nähtuse/objekti lihtsustatud kujutamine. Peidab detaile, keskendub olulisele, teeb kergemaks. Esitab vaate e. ühe võimaliku interpretatsiooni. Mudel esitab reaalse maailma vaate, e mingi valdkonna x interpretatsiooni. On lausete hulk uuritava valdkonna kohta kindlas modelleerimiskeeles. Lausetele annab tähenduse interpretatsioon, mis seob mudeli elemendid valdkonnaga. 2. Erinevaid mudelitüüpe? Midgetite BMW, gloobus, nukud, 3. (Mu lemmik kordamisküsimus, otse slaididelt) Miks on hea/kasulik osata modelleerida? 4.Valdkonna ja interpretatsiooni seos? Interpretatsioon seob elemendid valdkonnaga. 5. Mudeli ja konteksti seos? Tähtkuju suur vanker vs ostukäru näide. 6. UML trivia!!!! Loodi 90ndatel (1800) Booch, Jackobson, Rumbaugh poolt (valged mehed?), Rational Software firmast 97ndal Object Management Group (OMG) poolt standardiks võetud keel Praegun...

Informaatika → Süsteemianalüüs
3 allalaadimist
thumbnail
8
docx

Infosüsteemid

Infosüsteem Infosüsteem on asutuse, ettevõtte, omavalitsuse, riigi, organisatsiooni jne teabe säilitamiseks ja töötlemiseks mõeldud süsteem. Eesti entsüklopeedia järgi on infosüsteem informatsiooni, selle töötlemist käsitlevate reeglite ja vajalike vahendite kompleks. Süsteemiteooriast lähtudes on infosüsteem omavahel seotud andmeobjektide mitteamorfne, terviklik kogum. Oluline on infosüsteemi seotus teiste süsteemidega (liidesed ehk sisendid ja väljundid), määratletus (reeglitele alluvus, ennustatavus) ja mittetäielikkus. Elektrooniliste seadmete rakendamisel võib infosüsteemiks nimetada ka rakendusprogrammide hulka, mille abil saab lahendada teabe töötlemisega seotud ülesandeid. Selliste rakendusprogrammide loomist nimetatakse tarkvaraarenduseks. Tervise infosüsteem Eesti tervise infosüsteem (TIS) koosneb neljast põhiosast: digitaalne terviselugu, digitaalne

Informaatika → Infosüsteemi projekteerimine
27 allalaadimist


Sellel veebilehel kasutatakse küpsiseid. Kasutamist jätkates nõustute küpsiste ja veebilehe üldtingimustega Nõustun